Subversion Repositories MK3Mag

Rev

Show changed files | Details | Compare with Previous | Blame | RSS feed

Filtering Options

Rev Age Author Path Log message Diff
31 5642 d 17 h HolgerB /branches/MK3Mag V0.14 Code Redesign Killagreg/ The angle values could be inconsistent during I2C communication.
Blinking pattern during calibrating changed.
 
30 5671 d 9 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ only some code cosmetics  
29 5685 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ ACC Support included and tested in setup stand alone, connected to FC and connected to NC.
Bugfix for missing PWM at Pin5.
 
28 5686 d 9 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ 1st part of the ACC support included.
The automatic detection of the ACC option is still missing.
 
27 5690 d 5 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ faster attitude correction for i2c transmission  
26 5693 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ I2C crc communication verified:
- crc error rate sufficiently low
- i2c bus analyzed by o-scope
 
25 5694 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ CRC added to I2C communication with NaviCtrl  
23 5702 d 9 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ I2C enhancement  
22 5706 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ I2C Slave bugfixes  
21 5708 d 8 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ Blink codes for calibration states and normal operation added.  
20 5708 d 18 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ Attitude correction formula adopted  
19 5709 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ Code Reorganization  
18 5709 d 6 h killagreg /branches/MK3Mag V0.14 Code Redesign Killagreg/ Code Redesign based on original V0.14 from H&I  
13 5781 d 20 h hbuss /tags/V0.14/ Erste öffentlich Version  
12 /trunk/main.c  
8 /trunk/main.c  
7 /trunk/main.c  
5 /trunk/main.c  
3 /trunk/main.c  
1 5893 d 15 h ingob /tags/