Subversion Repositories NaviCtrl

Rev

Rev 184 | Rev 195 | Go to most recent revision | Only display areas with differences | Ignore whitespace | Details | Blame | Last modification | View Log | RSS feed

Rev 184 Rev 189
1
/*#######################################################################################*/
1
/*#######################################################################################*/
2
/* !!! THIS IS NOT FREE SOFTWARE !!!                                                     */
2
/* !!! THIS IS NOT FREE SOFTWARE !!!                                                     */
3
/*#######################################################################################*/
3
/*#######################################################################################*/
4
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
4
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
5
// + Copyright (c) 2008 Ingo Busker, Holger Buss
5
// + Copyright (c) 2008 Ingo Busker, Holger Buss
6
// + Nur für den privaten Gebrauch / NON-COMMERCIAL USE ONLY
6
// + Nur für den privaten Gebrauch / NON-COMMERCIAL USE ONLY
7
// + FOR NON COMMERCIAL USE ONLY
7
// + FOR NON COMMERCIAL USE ONLY
8
// + www.MikroKopter.com
8
// + www.MikroKopter.com
9
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
9
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
10
// + Es gilt für das gesamte Projekt (Hardware, Software, Binärfiles, Sourcecode und Dokumentation),
10
// + Es gilt für das gesamte Projekt (Hardware, Software, Binärfiles, Sourcecode und Dokumentation),
11
// + dass eine Nutzung (auch auszugsweise) nur für den privaten (nicht-kommerziellen) Gebrauch zulässig ist.
11
// + dass eine Nutzung (auch auszugsweise) nur für den privaten (nicht-kommerziellen) Gebrauch zulässig ist.
12
// + Sollten direkte oder indirekte kommerzielle Absichten verfolgt werden, ist mit uns (info@mikrokopter.de) Kontakt
12
// + Sollten direkte oder indirekte kommerzielle Absichten verfolgt werden, ist mit uns (info@mikrokopter.de) Kontakt
13
// + bzgl. der Nutzungsbedingungen aufzunehmen.
13
// + bzgl. der Nutzungsbedingungen aufzunehmen.
14
// + Eine kommerzielle Nutzung ist z.B.Verkauf von MikroKoptern, Bestückung und Verkauf von Platinen oder Bausätzen,
14
// + Eine kommerzielle Nutzung ist z.B.Verkauf von MikroKoptern, Bestückung und Verkauf von Platinen oder Bausätzen,
15
// + Verkauf von Luftbildaufnahmen, usw.
15
// + Verkauf von Luftbildaufnahmen, usw.
16
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
16
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
17
// + Werden Teile des Quellcodes (mit oder ohne Modifikation) weiterverwendet oder veröffentlicht,
17
// + Werden Teile des Quellcodes (mit oder ohne Modifikation) weiterverwendet oder veröffentlicht,
18
// + unterliegen sie auch diesen Nutzungsbedingungen und diese Nutzungsbedingungen incl. Copyright müssen dann beiliegen
18
// + unterliegen sie auch diesen Nutzungsbedingungen und diese Nutzungsbedingungen incl. Copyright müssen dann beiliegen
19
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
19
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
20
// + Sollte die Software (auch auszugesweise) oder sonstige Informationen des MikroKopter-Projekts
20
// + Sollte die Software (auch auszugesweise) oder sonstige Informationen des MikroKopter-Projekts
21
// + auf anderen Webseiten oder sonstigen Medien veröffentlicht werden, muss unsere Webseite "http://www.mikrokopter.de"
21
// + auf anderen Webseiten oder sonstigen Medien veröffentlicht werden, muss unsere Webseite "http://www.mikrokopter.de"
22
// + eindeutig als Ursprung verlinkt werden
22
// + eindeutig als Ursprung verlinkt werden
23
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
23
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
24
// + Keine Gewähr auf Fehlerfreiheit, Vollständigkeit oder Funktion
24
// + Keine Gewähr auf Fehlerfreiheit, Vollständigkeit oder Funktion
25
// + Benutzung auf eigene Gefahr
25
// + Benutzung auf eigene Gefahr
26
// + Wir übernehmen keinerlei Haftung für direkte oder indirekte Personen- oder Sachschäden
26
// + Wir übernehmen keinerlei Haftung für direkte oder indirekte Personen- oder Sachschäden
27
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
27
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
28
// + Die Portierung oder Nutzung der Software (oder Teile davon) auf andere Systeme (ausser der Hardware von www.mikrokopter.de) ist nur
28
// + Die Portierung oder Nutzung der Software (oder Teile davon) auf andere Systeme (ausser der Hardware von www.mikrokopter.de) ist nur
29
// + mit unserer Zustimmung zulässig
29
// + mit unserer Zustimmung zulässig
30
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
30
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
31
// + Die Funktion printf_P() unterliegt ihrer eigenen Lizenz und ist hiervon nicht betroffen
31
// + Die Funktion printf_P() unterliegt ihrer eigenen Lizenz und ist hiervon nicht betroffen
32
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
32
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
33
// + Redistributions of source code (with or without modifications) must retain the above copyright notice,
33
// + Redistributions of source code (with or without modifications) must retain the above copyright notice,
34
// + this list of conditions and the following disclaimer.
34
// + this list of conditions and the following disclaimer.
35
// +   * Neither the name of the copyright holders nor the names of contributors may be used to endorse or promote products derived
35
// +   * Neither the name of the copyright holders nor the names of contributors may be used to endorse or promote products derived
36
// +     from this software without specific prior written permission.
36
// +     from this software without specific prior written permission.
37
// +   * The use of this project (hardware, software, binary files, sources and documentation) is only permitted
37
// +   * The use of this project (hardware, software, binary files, sources and documentation) is only permitted
38
// +     for non-commercial use (directly or indirectly)
38
// +     for non-commercial use (directly or indirectly)
39
// +     Commercial use (for excample: selling of MikroKopters, selling of PCBs, assembly, ...) is only permitted
39
// +     Commercial use (for excample: selling of MikroKopters, selling of PCBs, assembly, ...) is only permitted
40
// +     with our written permission
40
// +     with our written permission
41
// +   * If sources or documentations are redistributet on other webpages, out webpage (http://www.MikroKopter.de) must be
41
// +   * If sources or documentations are redistributet on other webpages, out webpage (http://www.MikroKopter.de) must be
42
// +     clearly linked as origin
42
// +     clearly linked as origin
43
// +   * porting the sources to other systems or using the software on other systems (except hardware from www.mikrokopter.de) is not allowed
43
// +   * porting the sources to other systems or using the software on other systems (except hardware from www.mikrokopter.de) is not allowed
44
//
44
//
45
// +  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
45
// +  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
46
// +  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
46
// +  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
47
// +  IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
47
// +  IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
48
// +  ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
48
// +  ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
49
// +  LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
49
// +  LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
50
// +  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
50
// +  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
51
// +  SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
51
// +  SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
52
// +  INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
52
// +  INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
53
// +  CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
53
// +  CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
54
// +  ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
54
// +  ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
55
// +  POSSIBILITY OF SUCH DAMAGE.
55
// +  POSSIBILITY OF SUCH DAMAGE.
56
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
56
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
57
#include <stdlib.h>
-
 
58
#include <string.h>
57
#include <string.h>
59
#include "91x_lib.h"
58
#include "91x_lib.h"
60
#include "i2c.h"
59
#include "i2c.h"
61
#include "uart1.h"
60
#include "uart1.h"
62
#include "timer1.h"
61
#include "timer1.h"
63
#include "config.h"
62
#include "config.h"
64
#include "main.h"
63
#include "main.h"
65
#include "led.h"
64
#include "led.h"
66
#include "spi_slave.h"
65
#include "spi_slave.h"
-
 
66
 
-
 
67
#define I2C_SLAVE_ADDRESS               0x50
-
 
68
 
-
 
69
// I2C states
-
 
70
#define I2C_UNDEF               0
-
 
71
#define I2C_IDLE                1
-
 
72
#define I2C_TX_PROGRESS 2
-
 
73
#define I2C_RX_PENDING  3
-
 
74
#define I2C_RX_PROGRESS 4
67
 
75
#define I2C_OFF                 5
68
 
76
 
69
volatile I2C_State_t I2C_State = I2C_OFF;
77
volatile u8 I2C_State = I2C_OFF; // only on byte! because of sync by nesting irqs
70
volatile u8 I2C_StopPolling = 1;
78
u8 I2C_StopPolling = 1;
71
 
79
 
72
// rxbuffer
80
// rxbuffer
73
volatile u8 I2C_RxBufferSize;
81
volatile u8 I2C_RxBufferSize;
74
volatile u8 *I2C_RxBuffer;
82
volatile u8 *I2C_RxBuffer;
75
volatile u8 Rx_Idx = 0;
-
 
76
// txbuffer
83
// txbuffer
77
volatile u8 I2C_TxBufferSize;
84
volatile u8 I2C_TxBufferSize;
78
volatile u8 *I2C_TxBuffer;
85
volatile u8 *I2C_TxBuffer;
79
volatile u8 Tx_Idx = 0;
-
 
80
 
86
 
81
volatile u8 I2C_Direction;
87
volatile u8 I2C_Direction;
82
volatile u8 I2C_Command;
88
volatile u8 I2C_Command;
83
 
-
 
-
 
89
// I2C Transfer buffers
84
volatile I2C_Heading_t                  I2C_Heading;
90
volatile I2C_Heading_t                  I2C_Heading;
85
volatile I2C_WriteAttitude_t    I2C_WriteAttitude;
91
volatile I2C_WriteAttitude_t    I2C_WriteAttitude;
86
volatile I2C_Mag_t                              I2C_Mag;
92
volatile I2C_Mag_t                              I2C_Mag;
87
volatile I2C_Version_t                  MK3MAG_Version;
93
volatile I2C_Version_t                  MK3MAG_Version;
88
volatile I2C_Cal_t                              I2C_WriteCal;
94
volatile I2C_Cal_t                              I2C_WriteCal;
89
volatile I2C_Cal_t                              I2C_ReadCal;
95
volatile I2C_Cal_t                              I2C_ReadCal;
90
 
96
 
91
#define I2C1_TIMEOUT 500 // 500 ms
97
#define I2C1_TIMEOUT 500 // 500 ms
92
volatile u32 I2C1_Timeout = 0;
98
volatile u32 I2C1_Timeout = 0;
93
volatile u8 I2C_PrimRxBuffer[10]; // must be larger than any of the secondary rx buffers
-
 
94
 
99
 
95
//--------------------------------------------------------------
100
//--------------------------------------------------------------
96
void I2C1_Init(void)
101
void I2C1_Init(void)
97
{
102
{
98
        I2C_InitTypeDef   I2C_Struct;
103
        I2C_InitTypeDef   I2C_Struct;
99
        GPIO_InitTypeDef  GPIO_InitStructure;
104
        GPIO_InitTypeDef  GPIO_InitStructure;
100
       
105
       
101
        I2C_State = I2C_OFF;
106
        I2C_State = I2C_OFF;
102
 
107
 
103
        UART1_PutString("\r\n I2C init...");
108
        UART1_PutString("\r\n I2C init...");
104
        // enable Port 2 peripherie
109
        // enable Port 2 peripherie
105
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
110
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
106
        // disable a reset state
111
        // disable a reset state
107
        SCU_APBPeriphReset(__GPIO2, DISABLE);
112
        SCU_APBPeriphReset(__GPIO2, DISABLE);
108
 
113
 
109
        // free a busy bus
114
        // free a busy bus
110
 
115
 
111
        // At switch on I2C devices can get in a state where they
116
        // At switch on I2C devices can get in a state where they
112
        // are still waiting for a command due to all the bus lines bouncing
117
        // are still waiting for a command due to all the bus lines bouncing
113
        // around at startup have started clocking data into the device(s).
118
        // around at startup have started clocking data into the device(s).
114
        // Enable the ports as open collector port outputs
119
        // Enable the ports as open collector port outputs
115
        // and clock out at least 9 SCL pulses, then generate a stop
120
        // and clock out at least 9 SCL pulses, then generate a stop
116
        // condition and then leave the clock line high.
121
        // condition and then leave the clock line high.
117
 
122
 
118
        // configure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT to normal port operation
123
        // configure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT to normal port operation
119
        GPIO_StructInit(&GPIO_InitStructure);
124
        GPIO_StructInit(&GPIO_InitStructure);
120
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
125
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
121
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
126
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
122
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
127
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
123
        GPIO_InitStructure.GPIO_IPConnected = GPIO_IPConnected_Disable;
128
        GPIO_InitStructure.GPIO_IPConnected = GPIO_IPConnected_Disable;
124
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt1;
129
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt1;
125
        GPIO_Init(GPIO2, &GPIO_InitStructure);
130
        GPIO_Init(GPIO2, &GPIO_InitStructure);
126
 
131
 
127
        u8 i;
132
        u8 i;
128
        u32 delay;
133
        u32 delay;
129
        // set SCL high and then SDA to low (start condition)
134
        // set SCL high and then SDA to low (start condition)
130
        GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
135
        GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
131
        delay = SetDelay(1);
136
        delay = SetDelay(1);
132
        while (!CheckDelay(delay));
137
        while (!CheckDelay(delay));
133
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_RESET);
138
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_RESET);
134
        // toggle SCL at least 10 times from high to low to high
139
        // toggle SCL at least 10 times from high to low to high
135
        for(i = 0; i < 10; i++)
140
        for(i = 0; i < 10; i++)
136
        {
141
        {
137
                delay = SetDelay(1);
142
                delay = SetDelay(1);
138
                while (!CheckDelay(delay));
143
                while (!CheckDelay(delay));
139
 
144
 
140
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_RESET);
145
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_RESET);
141
                delay = SetDelay(1);
146
                delay = SetDelay(1);
142
                while (!CheckDelay(delay));
147
                while (!CheckDelay(delay));
143
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
148
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
144
        }
149
        }
145
        delay = SetDelay(1);
150
        delay = SetDelay(1);
146
        while (!CheckDelay(delay));
151
        while (!CheckDelay(delay));
147
        // create stop condition setting SDA HIGH when SCL is HIGH
152
        // create stop condition setting SDA HIGH when SCL is HIGH
148
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_SET);
153
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_SET);
149
 
154
 
150
 
155
 
151
        // reconfigure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT
156
        // reconfigure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT
152
        GPIO_StructInit(&GPIO_InitStructure);
157
        GPIO_StructInit(&GPIO_InitStructure);
153
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
158
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
154
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
159
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
155
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
160
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
156
        GPIO_InitStructure.GPIO_IPConnected = GPIO_IPConnected_Enable;
161
        GPIO_InitStructure.GPIO_IPConnected = GPIO_IPConnected_Enable;
157
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt2; //I2C1_CLKOUT, I2C1_DOUT
162
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt2; //I2C1_CLKOUT, I2C1_DOUT
158
        GPIO_Init(GPIO2, &GPIO_InitStructure);
163
        GPIO_Init(GPIO2, &GPIO_InitStructure);
159
 
164
 
160
        // enable I2C peripherie
165
        // enable I2C peripherie
161
        SCU_APBPeriphClockConfig(__I2C1,ENABLE);
166
        SCU_APBPeriphClockConfig(__I2C1,ENABLE);
162
        // reset I2C peripherie
167
        // reset I2C peripherie
163
        SCU_APBPeriphReset(__I2C1,ENABLE);
168
        SCU_APBPeriphReset(__I2C1,ENABLE);
164
        SCU_APBPeriphReset(__I2C1,DISABLE);
169
        SCU_APBPeriphReset(__I2C1,DISABLE);
165
 
170
 
166
        I2C_DeInit(I2C1);
171
        I2C_DeInit(I2C1);
167
        I2C_StructInit(&I2C_Struct);
172
        I2C_StructInit(&I2C_Struct);
168
        I2C_Struct.I2C_GeneralCall = I2C_GeneralCall_Disable;
173
        I2C_Struct.I2C_GeneralCall = I2C_GeneralCall_Disable;
169
        I2C_Struct.I2C_Ack = I2C_Ack_Enable;
174
        I2C_Struct.I2C_Ack = I2C_Ack_Enable;
170
        I2C_Struct.I2C_CLKSpeed = I2C1_CLOCK;
175
        I2C_Struct.I2C_CLKSpeed = I2C1_CLOCK;
171
        I2C_Struct.I2C_OwnAddress = 0x00;
176
        I2C_Struct.I2C_OwnAddress = 0x00;
172
        I2C_Init(I2C1, &I2C_Struct);
177
        I2C_Init(I2C1, &I2C_Struct);
173
 
178
 
174
        I2C_TxBuffer = NULL;
179
        I2C_TxBuffer = NULL;
175
        Tx_Idx = 0;
-
 
176
        I2C_TxBufferSize = 0;
180
        I2C_TxBufferSize = 0;
177
 
181
 
178
        I2C_RxBuffer = NULL;
182
        I2C_RxBuffer = NULL;
179
        Rx_Idx = 0;
-
 
180
        I2C_RxBufferSize = 0;
183
        I2C_RxBufferSize = 0;
181
 
184
 
182
        I2C_Cmd(I2C1, ENABLE);
185
        I2C_Cmd(I2C1, ENABLE);
183
        I2C_ITConfig(I2C1, ENABLE);
186
        I2C_ITConfig(I2C1, ENABLE);
184
 
187
 
185
        VIC_Config(I2C1_ITLine, VIC_IRQ , PRIORITY_I2C1);
188
        VIC_Config(I2C1_ITLine, VIC_IRQ , PRIORITY_I2C1);
186
        VIC_ITCmd(I2C1_ITLine, ENABLE);
-
 
187
 
189
 
188
        I2C1_Timeout = SetDelay(2*I2C1_TIMEOUT);
190
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
189
        I2C_Heading.Heading = -1;
191
        I2C_Heading.Heading = -1;
190
        I2C_GenerateSTOP(I2C1, ENABLE);
192
        I2C_GenerateSTOP(I2C1, ENABLE);
191
        I2C_State = I2C_IDLE;
193
        I2C_State = I2C_IDLE;
192
 
194
 
193
        I2C_StopPolling = 0; // start polling
195
        I2C_StopPolling = 0; // start polling
194
 
196
 
195
        UART1_PutString("ok");
197
        UART1_PutString("ok");
196
}
198
}
197
 
199
 
198
 
200
 
199
//--------------------------------------------------------------
201
//--------------------------------------------------------------
200
void I2C1_Deinit(void)
202
void I2C1_Deinit(void)
201
{
203
{
202
        GPIO_InitTypeDef  GPIO_InitStructure;
204
        GPIO_InitTypeDef  GPIO_InitStructure;
203
        I2C_StopPolling = 1;// stop polling
205
        I2C_StopPolling = 1;// stop polling
204
        UART1_PutString("\r\n I2C deinit...");
206
        UART1_PutString("\r\n I2C deinit...");
205
        I2C_GenerateStart(I2C1, DISABLE);
207
        I2C_GenerateStart(I2C1, DISABLE);
206
        I2C_GenerateSTOP(I2C1, ENABLE);
208
        I2C_GenerateSTOP(I2C1, ENABLE);
207
        VIC_ITCmd(I2C1_ITLine, DISABLE);
209
        VIC_ITCmd(I2C1_ITLine, DISABLE);
208
        I2C_State = I2C_OFF;
210
        I2C_State = I2C_OFF;
209
        I2C_ITConfig(I2C1, DISABLE);
211
        I2C_ITConfig(I2C1, DISABLE);
210
        I2C_Cmd(I2C1, DISABLE);
212
        I2C_Cmd(I2C1, DISABLE);
211
        I2C_DeInit(I2C1);
213
        I2C_DeInit(I2C1);
212
        SCU_APBPeriphClockConfig(__I2C1, DISABLE);
214
        SCU_APBPeriphClockConfig(__I2C1, DISABLE);
213
 
215
 
214
        // set ports to input
216
        // set ports to input
215
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
217
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
216
        GPIO_StructInit(&GPIO_InitStructure);
218
        GPIO_StructInit(&GPIO_InitStructure);
217
        GPIO_InitStructure.GPIO_Direction =     GPIO_PinInput;
219
        GPIO_InitStructure.GPIO_Direction =     GPIO_PinInput;
218
        GPIO_InitStructure.GPIO_Pin =                   GPIO_Pin_2 | GPIO_Pin_3;
220
        GPIO_InitStructure.GPIO_Pin =                   GPIO_Pin_2 | GPIO_Pin_3;
219
        GPIO_InitStructure.GPIO_Type =                  GPIO_Type_PushPull;
221
        GPIO_InitStructure.GPIO_Type =                  GPIO_Type_PushPull;
220
        GPIO_InitStructure.GPIO_IPConnected =   GPIO_IPConnected_Disable;
222
        GPIO_InitStructure.GPIO_IPConnected =   GPIO_IPConnected_Disable;
221
        GPIO_InitStructure.GPIO_Alternate =     GPIO_InputAlt1;
223
        GPIO_InitStructure.GPIO_Alternate =     GPIO_InputAlt1;
222
        GPIO_Init(GPIO2, &GPIO_InitStructure);
224
        GPIO_Init(GPIO2, &GPIO_InitStructure);
223
 
225
 
224
        I2C_TxBuffer = NULL;
226
        I2C_TxBuffer = NULL;
225
        Tx_Idx = 0;
-
 
226
        I2C_TxBufferSize = 0;
227
        I2C_TxBufferSize = 0;
227
 
228
 
228
        I2C_RxBuffer = NULL;
229
        I2C_RxBuffer = NULL;
229
        Rx_Idx = 0;
-
 
230
        I2C_RxBufferSize = 0;
230
        I2C_RxBufferSize = 0;
231
 
231
 
232
        I2C1_Timeout = 0;
232
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
233
        I2C_Heading.Heading = -1;
233
        I2C_Heading.Heading = -1;
234
 
234
 
235
        UART1_PutString("ok");
235
        UART1_PutString("ok");
236
}
236
}
237
 
237
 
238
 
238
 
239
//--------------------------------------------------------------
239
//--------------------------------------------------------------
240
void I2C1_IRQHandler(void)
240
void I2C1_IRQHandler(void)
241
{
241
{
-
 
242
        static u8 Rx_Idx = 0, Tx_Idx = 0, crc = 0;
-
 
243
        static u8 I2C_PrimRxBuffer[10]; // must be larger than any of the secondary rx buffers
242
        u16 status;
244
        u16 status;
243
        static u8 crc;
245
 
244
        // detemine I2C State
246
        // detemine I2C State
245
        status = I2C_GetLastEvent(I2C1);
247
        status = I2C_GetLastEvent(I2C1);
246
 
248
 
247
        if(status & (I2C_FLAG_AF|I2C_FLAG_BERR))  // if an acknowledge failure or bus error occured
249
        if(status & (I2C_FLAG_AF|I2C_FLAG_BERR))  // if an acknowledge failure or bus error occured
248
        {       // Set and subsequently clear the STOP bit while BTF is set.
250
        {       // Set and subsequently clear the STOP bit while BTF is set.
249
                while(I2C_GetFlagStatus (I2C1, I2C_FLAG_BTF) != RESET)
251
                while(I2C_GetFlagStatus (I2C1, I2C_FLAG_BTF) != RESET)
250
                {
252
                {
251
                        I2C_GenerateSTOP (I2C1, ENABLE); // free the bus
253
                        I2C_GenerateSTOP (I2C1, ENABLE);  // free the bus
252
                        I2C_GenerateSTOP (I2C1, DISABLE); // free the bus
254
                        I2C_GenerateSTOP (I2C1, DISABLE); // free the bus
253
                }
255
                }
254
                I2C_State = I2C_IDLE;
256
                I2C_State = I2C_IDLE;
-
 
257
                VIC_ITCmd(I2C1_ITLine, DISABLE);
255
                LED_GRN_OFF;
258
                LED_GRN_OFF;
-
 
259
                return;
256
        }
260
        }
257
        else
261
        else
258
        {       // depending on current i2c state
262
        {       // depending on current i2c state
259
                switch (status)
263
                switch (status)
260
                {
264
                {
261
                        // the start condition was initiated on the bus
265
                        // the start condition was initiated on the bus
262
                        case I2C_EVENT_MASTER_MODE_SELECT:
266
                        case I2C_EVENT_MASTER_MODE_SELECT:
263
                                LED_GRN_ON;
267
                                LED_GRN_ON;
264
                                // update current bus state variable
268
                                // update current bus state variable
265
                                switch(I2C_Direction)
269
                                switch(I2C_Direction)
266
                                {
270
                                {
267
                                        case I2C_MODE_TRANSMITTER:
271
                                        case I2C_MODE_TRANSMITTER:
268
                                                I2C_State = I2C_TX_PROGRESS;
272
                                                I2C_State = I2C_TX_PROGRESS;
269
                                                break;
273
                                                break;
270
 
274
 
271
                                        case I2C_MODE_RECEIVER:
275
                                        case I2C_MODE_RECEIVER:
272
                                                if ((I2C_RxBuffer == NULL) || (I2C_RxBufferSize == 0))
276
                                                if ((I2C_RxBuffer == NULL) || (I2C_RxBufferSize == 0))
273
                                                {
277
                                                {
274
                                                        I2C_GenerateSTOP (I2C1, ENABLE);
278
                                                        I2C_GenerateSTOP (I2C1, ENABLE);
-
 
279
                                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
-
 
280
                                                        LED_GRN_OFF;
275
                                                        I2C_State = I2C_IDLE;
281
                                                        I2C_State = I2C_IDLE;
276
                                                        return;
282
                                                        return;
277
                                                }
283
                                                }
278
                                                else
284
                                                else
279
                                                {
285
                                                {
280
                                                        I2C_State = I2C_RX_PROGRESS;
286
                                                        I2C_State = I2C_RX_PROGRESS;
281
                                                }
287
                                                }
282
                                                break;
288
                                                break;
283
 
289
 
284
                                        default: // invalid direction
290
                                        default: // invalid direction
285
                                                I2C_GenerateSTOP (I2C1, ENABLE);
291
                                                I2C_GenerateSTOP (I2C1, ENABLE);
286
                                                I2C_State = I2C_IDLE;
292
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
287
                                                LED_GRN_OFF;
293
                                                LED_GRN_OFF;
-
 
294
                                                I2C_State = I2C_IDLE;
288
                                                return;
295
                                                return;
289
                                }
296
                                }
290
                                // enable acknowledge
297
                                // enable acknowledge
291
                                I2C_AcknowledgeConfig (I2C1, ENABLE);
298
                                I2C_AcknowledgeConfig (I2C1, ENABLE);
292
                                // send address/direction byte on the bus
299
                                // send address/direction byte on the bus
293
                                I2C_Send7bitAddress(I2C1, I2C_SLAVE_ADDRESS, I2C_Direction);
300
                                I2C_Send7bitAddress(I2C1, I2C_SLAVE_ADDRESS, I2C_Direction);
294
                                break;
301
                                break;
295
 
302
 
296
                        // the address byte was send
303
                        // the address byte was send
297
                        case I2C_EVENT_MASTER_MODE_SELECTED:
304
                        case I2C_EVENT_MASTER_MODE_SELECTED:
298
                                // Clear EV6 by set again the PE bit
305
                                // Clear EV6 by set again the PE bit
299
                                I2C_Cmd(I2C1, ENABLE);
306
                                I2C_Cmd(I2C1, ENABLE);
300
                                // reset checksum
307
                                // reset checksum
301
                                crc = 0;
308
                                crc = 0;
302
                                switch(I2C_State)
309
                                switch(I2C_State)
303
                                {
310
                                {
304
                                        case I2C_TX_PROGRESS:
311
                                        case I2C_TX_PROGRESS:
305
                                        // send command 1st data byte (allways the command id)
312
                                        // send command 1st data byte (allways the command id)
306
                                        I2C_SendData(I2C1, I2C_Command);
313
                                        I2C_SendData(I2C1, I2C_Command);
307
                                        crc += I2C_Command;
314
                                        crc += I2C_Command;
308
                                        Tx_Idx = 0;
315
                                        Tx_Idx = 0;
309
                                        // reset timeout
316
                                        // reset timeout
310
                                        I2C1_Timeout = SetDelay(500); // after 500 ms of inactivity the I2C1 bus will be reset
317
                                        I2C1_Timeout = SetDelay(I2C1_TIMEOUT); // after inactivity the I2C1 bus will be reset
311
                                        break;
318
                                        break;
312
 
319
 
313
                                        case I2C_RX_PROGRESS:
320
                                        case I2C_RX_PROGRESS:
314
                                        Rx_Idx = 0;
321
                                        Rx_Idx = 0;
315
                                        break;
322
                                        break;
316
 
323
 
317
                                        default: // unknown I2C state
324
                                        default: // unknown I2C state
318
                                        // should never happen
325
                                        // should never happen
319
                                        I2C_GenerateSTOP (I2C1, ENABLE);
326
                                        I2C_GenerateSTOP (I2C1, ENABLE);
-
 
327
                                        LED_GRN_OFF;
-
 
328
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
320
                                        I2C_State = I2C_IDLE;
329
                                        I2C_State = I2C_IDLE;
-
 
330
                                        return;
321
                                        break;
331
                                        break;
322
                                }
332
                                }
323
                                break;
333
                                break;
324
 
334
 
325
                        // the master has transmitted a byte and slave has been acknowledged
335
                        // the master has transmitted a byte and slave has been acknowledged
326
                        case I2C_EVENT_MASTER_BYTE_TRANSMITTED:
336
                        case I2C_EVENT_MASTER_BYTE_TRANSMITTED:
327
 
337
 
328
                                // some bytes have to be transmitted
338
                                // some bytes have to be transmitted
329
                                if(Tx_Idx < I2C_TxBufferSize)
339
                                if(Tx_Idx < I2C_TxBufferSize)
330
                                {
340
                                {
331
                                        if(I2C_TxBuffer != NULL)
341
                                        if(I2C_TxBuffer != NULL)
332
                                        {
342
                                        {
333
                                                I2C_SendData(I2C1, I2C_TxBuffer[Tx_Idx]);
343
                                                I2C_SendData(I2C1, I2C_TxBuffer[Tx_Idx]);
334
                                                crc += I2C_TxBuffer[Tx_Idx];
344
                                                crc += I2C_TxBuffer[Tx_Idx];
335
                                        }
345
                                        }
336
                                        else
346
                                        else
337
                                        {
347
                                        {
338
                                                I2C_SendData(I2C1, 0x00);
348
                                                I2C_SendData(I2C1, 0x00);
339
                                        }
349
                                        }
340
                                }
350
                                }
341
                                else // the last tx buffer byte was send
351
                                else if(Tx_Idx == I2C_TxBufferSize) // the last tx buffer byte was send
342
                                {
352
                                {
343
                                        // send crc byte at the end
353
                                        // send crc byte at the end
344
                                        crc = ~crc; // flip all bits in the checksum
354
                                        crc = ~crc; // flip all bits in the checksum
345
                                        I2C_SendData(I2C1, crc);
355
                                        I2C_SendData(I2C1, crc);
-
 
356
                                }
-
 
357
                                else if(Tx_Idx == (I2C_TxBufferSize+1) )
-
 
358
                                {
-
 
359
                                        I2C_SendData(I2C1, 0xAA); // send a dummybyte
-
 
360
                                }
-
 
361
                                else // last byte was send
-
 
362
                                {
346
                                        // generate stop or repeated start condition
363
                                        // generate stop or repeated start condition
347
                                        if ((I2C_RxBuffer != NULL) && (I2C_RxBufferSize > 0)) // is any answer byte expected?
364
                                        if ((I2C_RxBuffer != NULL) && (I2C_RxBufferSize > 0)) // is any answer byte expected?
348
                                        {
365
                                        {
349
                                                I2C_Direction = I2C_MODE_RECEIVER; // switch to master receiver after repeated start condition
366
                                                I2C_Direction = I2C_MODE_RECEIVER; // switch to master receiver after repeated start condition
350
                                                I2C_GenerateStart(I2C1, ENABLE);   // initiate repeated start condition on the bus
367
                                                I2C_GenerateStart(I2C1, ENABLE);   // initiate repeated start condition on the bus
351
                                        }
368
                                        }
352
                                        else
369
                                        else
353
                                        {   // stop communication
370
                                        {   // stop communication
354
                                                I2C_GenerateSTOP(I2C1, ENABLE); // generate stop condition to free the bus
371
                                                I2C_GenerateSTOP(I2C1, ENABLE); // generate stop condition to free the bus
355
                                                I2C_State = I2C_IDLE;                   // ready for new actions
372
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
356
                                                LED_GRN_OFF;
373
                                                LED_GRN_OFF;
357
                                                DebugOut.Analog[15]++;
374
                                                DebugOut.Analog[15]++;
-
 
375
                                                I2C_State = I2C_IDLE;                   // ready for new actions
-
 
376
                                               
358
                                        }
377
                                        }
359
                                }
378
                                }
360
                                Tx_Idx++;
379
                                Tx_Idx++;
361
                                break;
380
                                break;
362
 
381
 
363
                        // the master has received a byte from the slave
382
                        // the master has received a byte from the slave
364
                        case I2C_EVENT_MASTER_BYTE_RECEIVED:
383
                        case I2C_EVENT_MASTER_BYTE_RECEIVED:
365
                                // some bytes have to be received
384
                                // some bytes have to be received
366
                                if (Rx_Idx < I2C_RxBufferSize)
385
                                if (Rx_Idx < I2C_RxBufferSize)
367
                                {       // copy received byte  from the data register to the rx-buffer
386
                                {       // copy received byte  from the data register to the rx-buffer
368
                                        I2C_PrimRxBuffer[Rx_Idx] = I2C_ReceiveData(I2C1);
387
                                        I2C_PrimRxBuffer[Rx_Idx] = I2C_ReceiveData(I2C1);
369
                                        // update checksum
388
                                        // update checksum
370
                                        crc += I2C_PrimRxBuffer[Rx_Idx];
389
                                        crc += I2C_PrimRxBuffer[Rx_Idx];
371
                                }
390
                                }
372
                                // if the last byte (crc) was received
391
                                // if the last byte (crc) was received
373
                                else if ( Rx_Idx == I2C_RxBufferSize)
392
                                else if ( Rx_Idx == I2C_RxBufferSize)
374
                                {
393
                                {
375
                                        // generate a STOP condition on the bus before reading data register
394
                                        // generate a STOP condition on the bus before reading data register
376
                                        I2C_GenerateSTOP(I2C1, ENABLE);
395
                                        I2C_GenerateSTOP(I2C1, ENABLE);
377
                                        // compare last byte with checksum
396
                                        // compare last byte with checksum
378
                                        crc = ~crc;// flip all bits in calulated checksum
397
                                        crc = ~crc;// flip all bits in calulated checksum
379
                                        if(crc == I2C_ReceiveData(I2C1))
398
                                        if(crc == I2C_ReceiveData(I2C1))
-
 
399
                                        {      
380
                                        {       // copy primary rx buffer content to rx buffer if exist
400
                                                // copy primary rx buffer content to rx buffer if exist
381
                                                if(I2C_RxBuffer != NULL)
401
                                                if(I2C_RxBuffer != NULL)
382
                                                {
402
                                                {
383
                                                        memcpy((u8 *)I2C_RxBuffer, (u8 *)I2C_PrimRxBuffer, I2C_RxBufferSize);
403
                                                        memcpy((u8 *)I2C_RxBuffer, (u8 *)I2C_PrimRxBuffer, I2C_RxBufferSize);
384
                                                }
404
                                                }
385
                                                I2C1_Timeout = SetDelay(500);
405
                                                I2C1_Timeout = SetDelay(I2C1_TIMEOUT);
386
                                                DebugOut.Analog[15]++;
406
                                                DebugOut.Analog[15]++;
387
                                        }
407
                                        }
388
                                        else // checksum error detected
408
                                        else // checksum error detected
389
                                        {
409
                                        {
390
//                                              DebugOut.Analog[14]++;
410
                                                DebugOut.Analog[14]++;
391
                                        }
411
                                        }
392
                                        I2C_State = I2C_IDLE;
412
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
393
                                        LED_GRN_OFF;
413
                                        LED_GRN_OFF;
-
 
414
                                        I2C_State = I2C_IDLE;
-
 
415
                                        return;
394
                                }
416
                                }
395
                                Rx_Idx++;
417
                                Rx_Idx++;
396
                                // if the 2nd last byte was received disable acknowledge for the last one
418
                                // if the 2nd last byte was received disable acknowledge for the last one
397
                                if ( Rx_Idx == I2C_RxBufferSize )
419
                                if ( Rx_Idx == I2C_RxBufferSize )
398
                                {
420
                                {
399
                                        I2C_AcknowledgeConfig (I2C1, DISABLE);
421
                                        I2C_AcknowledgeConfig (I2C1, DISABLE);
400
                                }
422
                                }
401
                                break;
423
                                break;
402
 
424
 
403
                        default:
425
                        default:
404
                                break;
426
                                break;
405
                }
427
                }
406
        }
428
        }
407
}
429
}
408
//----------------------------------------------------------------
430
//----------------------------------------------------------------
409
void I2C1_SendCommand(u8 command)
431
void I2C1_SendCommand(u8 command)
410
{
432
{
-
 
433
        // disable I2C IRQ to check state
-
 
434
        VIC_ITCmd(I2C1_ITLine, DISABLE);
411
        // If I2C transmission is in progress
435
        // If I2C transmission is in progress
412
        if(I2C_State != I2C_IDLE) return; // return imediatly if a transfer is still in progress
-
 
413
        // disable I2C IRQ to avoid read/write access to the tx/rx buffer pointers during
-
 
414
        // update of that buffer pointers and length
-
 
415
        I2C_ITConfig(I2C1, DISABLE);
436
        if(I2C_State == I2C_IDLE)
416
        // update current command id
-
 
417
        I2C_Command = command;
-
 
418
        // set pointers to data area with respect to the command id
-
 
419
        switch (command)
-
 
420
        {
437
        {
-
 
438
                // update current command id
-
 
439
                I2C_Command = command;
-
 
440
                // set pointers to data area with respect to the command id
-
 
441
                switch (command)
-
 
442
                {
421
                case I2C_CMD_VERSION:
443
                        case I2C_CMD_VERSION:
422
                        I2C_RxBuffer = (u8 *)&MK3MAG_Version;
444
                                I2C_RxBuffer = (u8 *)&MK3MAG_Version;
423
                        I2C_RxBufferSize = sizeof(MK3MAG_Version);
445
                                I2C_RxBufferSize = sizeof(MK3MAG_Version);
424
                        I2C_TxBuffer = NULL;
446
                                I2C_TxBuffer = NULL;
425
                        I2C_TxBufferSize = 0;
447
                                I2C_TxBufferSize = 0;
426
                        break;
448
                                break;
427
                case I2C_CMD_WRITE_CAL:
449
                        case I2C_CMD_WRITE_CAL:
428
                        I2C_RxBuffer = (u8 *)&I2C_ReadCal;
450
                                I2C_RxBuffer = (u8 *)&I2C_ReadCal;
429
                        I2C_RxBufferSize = sizeof(I2C_ReadCal);
451
                                I2C_RxBufferSize = sizeof(I2C_ReadCal);
430
                        I2C_TxBuffer = (u8 *)&I2C_WriteCal;
452
                                I2C_TxBuffer = (u8 *)&I2C_WriteCal;
431
                        I2C_TxBufferSize = sizeof(I2C_WriteCal);
453
                                I2C_TxBufferSize = sizeof(I2C_WriteCal);
432
                        break;
454
                                break;
433
                case I2C_CMD_READ_MAG:
455
                        case I2C_CMD_READ_MAG:
434
                        I2C_RxBuffer = (u8 *)&I2C_Mag;
456
                                I2C_RxBuffer = (u8 *)&I2C_Mag;
435
                        I2C_RxBufferSize = sizeof(I2C_Mag);
457
                                I2C_RxBufferSize = sizeof(I2C_Mag);
436
                        I2C_TxBuffer = NULL;
458
                                I2C_TxBuffer = NULL;
437
                        I2C_TxBufferSize = 0;
459
                                I2C_TxBufferSize = 0;
438
                        break;
460
                                break;
439
                case I2C_CMD_READ_HEADING:
461
                        case I2C_CMD_READ_HEADING:
440
                        DebugOut.Analog[10] = I2C_Heading.Heading;
462
                                I2C_RxBuffer = (u8 *)&I2C_Heading;
441
                        I2C_RxBuffer = (u8 *)&I2C_Heading;
463
                                I2C_RxBufferSize = sizeof(I2C_Heading);
-
 
464
                                I2C_TxBuffer =  (u8 *)&I2C_WriteAttitude;
442
                        I2C_RxBufferSize = sizeof(I2C_Heading);
465
                                I2C_TxBufferSize = sizeof(I2C_WriteAttitude);
443
                        // updat atitude from spi rx buffer
466
                                // update attitude from spi rx buffer
-
 
467
                                VIC_ITCmd(SSP0_ITLine, DISABLE); // avoid spi buffer update during copy
444
                        I2C_WriteAttitude.Roll = FromFlightCtrl.AngleRoll;
468
                                I2C_WriteAttitude.Roll = FromFlightCtrl.AngleRoll;
445
                        I2C_WriteAttitude.Nick = FromFlightCtrl.AngleNick;
469
                                I2C_WriteAttitude.Nick = FromFlightCtrl.AngleNick;
446
                        I2C_TxBuffer =  (u8 *)&I2C_WriteAttitude;
470
                                VIC_ITCmd(SSP0_ITLine, ENABLE);
447
                        I2C_TxBufferSize = sizeof(I2C_WriteAttitude);
-
 
448
                        break;
471
                                break;
449
                default: // unknown command id
472
                        default: // unknown command id
450
                        I2C_RxBuffer = NULL;
473
                                I2C_RxBuffer = NULL;
451
                        I2C_RxBufferSize = 0;
474
                                I2C_RxBufferSize = 0;
452
                        I2C_TxBuffer =  NULL;
475
                                I2C_TxBuffer =  NULL;
453
                        I2C_TxBufferSize = 0;
476
                                I2C_TxBufferSize = 0;
454
                        break;
477
                                break;
455
        }
478
                }
456
        // set direction to master transmitter
479
                // set direction to master transmitter
457
        I2C_Direction = I2C_MODE_TRANSMITTER;
480
                I2C_Direction = I2C_MODE_TRANSMITTER;
458
        // test on busy flag and clear it
481
                // test on busy flag and clear it
459
        I2C_CheckEvent( I2C1, I2C_FLAG_BUSY );
482
                I2C_CheckEvent( I2C1, I2C_FLAG_BUSY );
460
        // enable I2C IRQ again
483
                // enable I2C IRQ again
461
        I2C_ITConfig(I2C1, ENABLE);
484
                VIC_ITCmd(I2C1_ITLine, ENABLE);
462
        // initiale start condition on the bus
485
                // initiate start condition on the bus
463
        I2C_GenerateStart(I2C1, ENABLE);
486
                I2C_GenerateStart(I2C1, ENABLE);
464
        // to be continued in the I2C1_IRQHandler() above
487
                // to be continued in the I2C1_IRQHandler() above
-
 
488
        } // EOF I2C_State == I2C_IDLE
-
 
489
        else // I2C_State != I2C_IDLE
-
 
490
        {
-
 
491
                // re-enable I2C IRQ again
-
 
492
                VIC_ITCmd(I2C1_ITLine, ENABLE);
-
 
493
        }      
465
}
494
}
466
 
495
 
467
//----------------------------------------------------------------
496
//----------------------------------------------------------------
468
void I2C1_GetMK3MagVersion(void)
497
void I2C1_GetMK3MagVersion(void)
469
{
498
{
470
        u8 msg[64];
499
        u8 msg[64];
471
        u8 repeat;
500
        u8 repeat;
472
        u32 timeout;
501
        u32 timeout;
473
       
502
       
474
        UART1_PutString("\r\n Getting Version from MK3MAG");
503
        UART1_PutString("\r\n Getting Version from MK3MAG");
475
        // stop polling of other commands
504
        // stop polling of other commands
476
        I2C_StopPolling = 1;
505
        I2C_StopPolling = 1;
477
 
506
 
478
        MK3MAG_Version.Major = 0xFF;
507
        MK3MAG_Version.Major = 0xFF;
479
        MK3MAG_Version.Minor = 0xFF;
508
        MK3MAG_Version.Minor = 0xFF;
480
        MK3MAG_Version.Patch = 0xFF;
509
        MK3MAG_Version.Patch = 0xFF;
481
        MK3MAG_Version.Compatible = 0xFF;
510
        MK3MAG_Version.Compatible = 0xFF;
482
        // polling of version info
511
        // polling of version info
483
        repeat = 0;
512
        repeat = 0;
484
        do
513
        do
485
        {
514
        {
486
                I2C1_SendCommand(I2C_CMD_VERSION);
515
                I2C1_SendCommand(I2C_CMD_VERSION);
487
                timeout = SetDelay(250);
516
                timeout = SetDelay(250);
488
                do
517
                do
489
                {
518
                {
490
                        if (MK3MAG_Version.Major != 0xFF) break; // break loop on success
519
                        if (MK3MAG_Version.Major != 0xFF) break; // break loop on success
491
                }while (!CheckDelay(timeout));
520
                }while (!CheckDelay(timeout));
492
                UART1_PutString(".");
521
                UART1_PutString(".");
493
                repeat++;
522
                repeat++;
494
        }while ((MK3MAG_Version.Major == 0xFF) && (repeat < 12)); // 12*250ms=3s
523
        }while ((MK3MAG_Version.Major == 0xFF) && (repeat < 12)); // 12*250ms=3s
495
        // if we got it
524
        // if we got it
496
        if (MK3MAG_Version.Major != 0xFF)
525
        if (MK3MAG_Version.Major != 0xFF)
497
        {
526
        {
498
                sprintf(msg, "\r\n MK3MAG V%d.%d%c", MK3MAG_Version.Major, MK3MAG_Version.Minor, 'a' + MK3MAG_Version.Patch);
527
                sprintf(msg, "\r\n MK3MAG V%d.%d%c", MK3MAG_Version.Major, MK3MAG_Version.Minor, 'a' + MK3MAG_Version.Patch);
499
                UART1_PutString(msg);
528
                UART1_PutString(msg);
500
                sprintf(msg, " Compatible: %d", MK3MAG_Version.Compatible);
529
                sprintf(msg, " Compatible: %d", MK3MAG_Version.Compatible);
501
                UART1_PutString(msg);
530
                UART1_PutString(msg);
502
        }
531
        }
503
        else UART1_PutString("\n\r No version information from MK3Mag.");
532
        else UART1_PutString("\n\r No version information from MK3Mag.");
504
 
533
 
505
        I2C_StopPolling = 0; // enable polling of heading command
534
        I2C_StopPolling = 0; // enable polling of heading command
506
}
535
}
507
 
536
 
508
 
537
 
509
//----------------------------------------------------------------
538
//----------------------------------------------------------------
510
void I2C1_UpdateCompass(void)
539
void I2C1_UpdateCompass(void)
511
{
540
{
512
        static u32 TimerCompassUpdate = 0;
541
        static u32 TimerCompassUpdate = 0;
513
 
542
 
514
        if( (I2C_State == I2C_OFF) || I2C_StopPolling ) return;
543
        if( (I2C_State == I2C_OFF) || I2C_StopPolling ) return;
515
       
544
       
516
        if(CheckDelay(TimerCompassUpdate))
545
        if(CheckDelay(TimerCompassUpdate))
517
        {
546
        {
518
                // check for incomming compass calibration request
547
                // check for incomming compass calibration request
519
                // update CalByte from spi input queue
548
                // update CalByte from spi input queue
520
                fifo_get(&CompassCalcStateFiFo, (u8 *)&(I2C_WriteCal.CalByte));
549
                fifo_get(&CompassCalcStateFiFo, (u8 *)&(I2C_WriteCal.CalByte));
521
                // send new calstate
550
                // send new calstate
522
                if(I2C_ReadCal.CalByte != I2C_WriteCal.CalByte)
551
                if(I2C_ReadCal.CalByte != I2C_WriteCal.CalByte)
523
                {
552
                {
524
                        I2C1_SendCommand(I2C_CMD_WRITE_CAL);
553
                        I2C1_SendCommand(I2C_CMD_WRITE_CAL);
525
                }
554
                }
526
                else // request current heading
555
                else // request current heading
527
                {
556
                {
528
                        I2C1_SendCommand(I2C_CMD_READ_HEADING);
557
                        I2C1_SendCommand(I2C_CMD_READ_HEADING);
529
                }
558
                }
530
                TimerCompassUpdate = SetDelay(25);    // every 25 ms
559
                TimerCompassUpdate = SetDelay(40);    // every 40 ms are 25 Hz
531
        }
560
        }
532
}
561
}
533
 
562