Subversion Repositories NaviCtrl

Rev

Rev 229 | Rev 242 | Go to most recent revision | Only display areas with differences | Regard whitespace | Details | Blame | Last modification | View Log | RSS feed

Rev 229 Rev 241
1
/*#######################################################################################*/
1
/*#######################################################################################*/
2
/* !!! THIS IS NOT FREE SOFTWARE !!!                                                     */
2
/* !!! THIS IS NOT FREE SOFTWARE !!!                                                     */
3
/*#######################################################################################*/
3
/*#######################################################################################*/
4
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
4
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
5
// + Copyright (c) 2008 Ingo Busker, Holger Buss
5
// + Copyright (c) 2008 Ingo Busker, Holger Buss
6
// + Nur für den privaten Gebrauch / NON-COMMERCIAL USE ONLY
6
// + Nur für den privaten Gebrauch / NON-COMMERCIAL USE ONLY
7
// + FOR NON COMMERCIAL USE ONLY
7
// + FOR NON COMMERCIAL USE ONLY
8
// + www.MikroKopter.com
8
// + www.MikroKopter.com
9
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
9
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
10
// + Es gilt für das gesamte Projekt (Hardware, Software, Binärfiles, Sourcecode und Dokumentation),
10
// + Es gilt für das gesamte Projekt (Hardware, Software, Binärfiles, Sourcecode und Dokumentation),
11
// + dass eine Nutzung (auch auszugsweise) nur für den privaten (nicht-kommerziellen) Gebrauch zulässig ist.
11
// + dass eine Nutzung (auch auszugsweise) nur für den privaten (nicht-kommerziellen) Gebrauch zulässig ist.
12
// + Sollten direkte oder indirekte kommerzielle Absichten verfolgt werden, ist mit uns (info@mikrokopter.de) Kontakt
12
// + Sollten direkte oder indirekte kommerzielle Absichten verfolgt werden, ist mit uns (info@mikrokopter.de) Kontakt
13
// + bzgl. der Nutzungsbedingungen aufzunehmen.
13
// + bzgl. der Nutzungsbedingungen aufzunehmen.
14
// + Eine kommerzielle Nutzung ist z.B.Verkauf von MikroKoptern, Bestückung und Verkauf von Platinen oder Bausätzen,
14
// + Eine kommerzielle Nutzung ist z.B.Verkauf von MikroKoptern, Bestückung und Verkauf von Platinen oder Bausätzen,
15
// + Verkauf von Luftbildaufnahmen, usw.
15
// + Verkauf von Luftbildaufnahmen, usw.
16
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
16
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
17
// + Werden Teile des Quellcodes (mit oder ohne Modifikation) weiterverwendet oder veröffentlicht,
17
// + Werden Teile des Quellcodes (mit oder ohne Modifikation) weiterverwendet oder veröffentlicht,
18
// + unterliegen sie auch diesen Nutzungsbedingungen und diese Nutzungsbedingungen incl. Copyright müssen dann beiliegen
18
// + unterliegen sie auch diesen Nutzungsbedingungen und diese Nutzungsbedingungen incl. Copyright müssen dann beiliegen
19
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
19
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
20
// + Sollte die Software (auch auszugesweise) oder sonstige Informationen des MikroKopter-Projekts
20
// + Sollte die Software (auch auszugesweise) oder sonstige Informationen des MikroKopter-Projekts
21
// + auf anderen Webseiten oder sonstigen Medien veröffentlicht werden, muss unsere Webseite "http://www.mikrokopter.de"
21
// + auf anderen Webseiten oder sonstigen Medien veröffentlicht werden, muss unsere Webseite "http://www.mikrokopter.de"
22
// + eindeutig als Ursprung verlinkt werden
22
// + eindeutig als Ursprung verlinkt werden
23
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
23
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
24
// + Keine Gewähr auf Fehlerfreiheit, Vollständigkeit oder Funktion
24
// + Keine Gewähr auf Fehlerfreiheit, Vollständigkeit oder Funktion
25
// + Benutzung auf eigene Gefahr
25
// + Benutzung auf eigene Gefahr
26
// + Wir übernehmen keinerlei Haftung für direkte oder indirekte Personen- oder Sachschäden
26
// + Wir übernehmen keinerlei Haftung für direkte oder indirekte Personen- oder Sachschäden
27
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
27
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
28
// + Die Portierung oder Nutzung der Software (oder Teile davon) auf andere Systeme (ausser der Hardware von www.mikrokopter.de) ist nur
28
// + Die Portierung oder Nutzung der Software (oder Teile davon) auf andere Systeme (ausser der Hardware von www.mikrokopter.de) ist nur
29
// + mit unserer Zustimmung zulässig
29
// + mit unserer Zustimmung zulässig
30
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
30
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
31
// + Die Funktion printf_P() unterliegt ihrer eigenen Lizenz und ist hiervon nicht betroffen
31
// + Die Funktion printf_P() unterliegt ihrer eigenen Lizenz und ist hiervon nicht betroffen
32
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
32
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
33
// + Redistributions of source code (with or without modifications) must retain the above copyright notice,
33
// + Redistributions of source code (with or without modifications) must retain the above copyright notice,
34
// + this list of conditions and the following disclaimer.
34
// + this list of conditions and the following disclaimer.
35
// +   * Neither the name of the copyright holders nor the names of contributors may be used to endorse or promote products derived
35
// +   * Neither the name of the copyright holders nor the names of contributors may be used to endorse or promote products derived
36
// +     from this software without specific prior written permission.
36
// +     from this software without specific prior written permission.
37
// +   * The use of this project (hardware, software, binary files, sources and documentation) is only permitted
37
// +   * The use of this project (hardware, software, binary files, sources and documentation) is only permitted
38
// +     for non-commercial use (directly or indirectly)
38
// +     for non-commercial use (directly or indirectly)
39
// +     Commercial use (for excample: selling of MikroKopters, selling of PCBs, assembly, ...) is only permitted
39
// +     Commercial use (for excample: selling of MikroKopters, selling of PCBs, assembly, ...) is only permitted
40
// +     with our written permission
40
// +     with our written permission
41
// +   * If sources or documentations are redistributet on other webpages, out webpage (http://www.MikroKopter.de) must be
41
// +   * If sources or documentations are redistributet on other webpages, out webpage (http://www.MikroKopter.de) must be
42
// +     clearly linked as origin
42
// +     clearly linked as origin
43
// +   * porting the sources to other systems or using the software on other systems (except hardware from www.mikrokopter.de) is not allowed
43
// +   * porting the sources to other systems or using the software on other systems (except hardware from www.mikrokopter.de) is not allowed
44
//
44
//
45
// +  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
45
// +  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
46
// +  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
46
// +  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
47
// +  IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
47
// +  IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
48
// +  ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
48
// +  ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
49
// +  LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
49
// +  LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
50
// +  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
50
// +  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
51
// +  SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
51
// +  SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
52
// +  INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
52
// +  INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
53
// +  CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
53
// +  CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
54
// +  ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
54
// +  ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
55
// +  POSSIBILITY OF SUCH DAMAGE.
55
// +  POSSIBILITY OF SUCH DAMAGE.
56
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
56
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
57
#include <string.h>
57
#include <string.h>
58
#include "91x_lib.h"
58
#include "91x_lib.h"
59
#include "i2c.h"
59
#include "i2c.h"
60
#include "uart1.h"
60
#include "uart1.h"
61
#include "timer1.h"
61
#include "timer1.h"
62
#include "config.h"
62
#include "config.h"
63
#include "main.h"
-
 
64
#include "led.h"
63
#include "led.h"
65
#include "spi_slave.h"
-
 
66
 
-
 
67
#define I2C_SLAVE_ADDRESS               0x50
-
 
68
 
-
 
69
// I2C states
-
 
70
#define I2C_UNDEF               0
-
 
71
#define I2C_IDLE                1
-
 
72
#define I2C_TX_PROGRESS 2
-
 
73
#define I2C_RX_PENDING  3
-
 
74
#define I2C_RX_PROGRESS 4
-
 
75
#define I2C_OFF                 5
-
 
76
 
64
 
77
volatile u8 I2C_State = I2C_OFF; // only on byte! because of sync by nesting irqs
-
 
78
u8 I2C_StopPolling = 1;
65
volatile u8 I2C_State = I2C_STATE_OFF; // only one byte, because of sync by nesting irqs
79
 
66
 
80
// rxbuffer
67
// rxbuffer
81
volatile u8 I2C_RxBufferSize;
68
volatile u8 I2C_RxBufferSize;
82
volatile u8 *I2C_RxBuffer;
69
volatile u8 I2C_RxBuffer[I2C_RXBUFFER_LEN];
83
// txbuffer
70
// txbuffer
84
volatile u8 I2C_TxBufferSize;
71
volatile u8 I2C_TxBufferSize;
85
volatile u8 *I2C_TxBuffer;
72
volatile u8 I2C_TxBuffer[I2C_TXBUFFER_LEN];
86
 
73
 
87
volatile u8 I2C_Direction;
74
volatile u8 I2C_Direction;
88
volatile u8 I2C_Command;
-
 
89
// I2C Transfer buffers
-
 
90
volatile I2C_Heading_t                  I2C_Heading;
-
 
91
volatile I2C_WriteAttitude_t    I2C_WriteAttitude;
75
volatile u8 I2C_SlaveAddress = 0x00;
92
volatile I2C_Mag_t                              I2C_Mag;
-
 
93
volatile I2C_Version_t                  MK3MAG_Version;
-
 
94
volatile I2C_Cal_t                              I2C_WriteCal;
-
 
95
volatile I2C_Cal_t                              I2C_ReadCal;
-
 
96
 
76
I2C_pRxHandler_t I2C_pRxHandler = NULL;
97
#define I2C1_TIMEOUT 500 // 500 ms
77
 
98
volatile u32 I2C1_Timeout = 0;
78
volatile u32 I2C1_Timeout = 0;
99
 
79
 
100
//--------------------------------------------------------------
80
//--------------------------------------------------------------
101
void I2C1_Init(void)
81
void I2C1_Init(void)
102
{
82
{
103
        I2C_InitTypeDef   I2C_Struct;
83
        I2C_InitTypeDef   I2C_Struct;
104
        GPIO_InitTypeDef  GPIO_InitStructure;
84
        GPIO_InitTypeDef  GPIO_InitStructure;
105
       
85
       
106
        I2C_State = I2C_OFF;
86
        I2C_State = I2C_STATE_OFF;
107
 
87
 
108
        UART1_PutString("\r\n I2C init...");
88
        UART1_PutString("\r\n I2C init...");
109
        // enable Port 2 peripherie
89
        // enable Port 2 peripherie
110
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
90
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
111
        // disable a reset state
91
        // disable a reset state
112
        SCU_APBPeriphReset(__GPIO2, DISABLE);
92
        SCU_APBPeriphReset(__GPIO2, DISABLE);
113
 
93
 
114
        // free a busy bus
94
        // free a busy bus
115
 
95
 
116
        // At switch on I2C devices can get in a state where they
96
        // At switch on I2C devices can get in a state where they
117
        // are still waiting for a command due to all the bus lines bouncing
97
        // are still waiting for a command due to all the bus lines bouncing
118
        // around at startup have started clocking data into the device(s).
98
        // around at startup have started clocking data into the device(s).
119
        // Enable the ports as open collector port outputs
99
        // Enable the ports as open collector port outputs
120
        // and clock out at least 9 SCL pulses, then generate a stop
100
        // and clock out at least 9 SCL pulses, then generate a stop
121
        // condition and then leave the clock line high.
101
        // condition and then leave the clock line high.
122
 
102
 
123
        // configure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT to normal port operation
103
        // configure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT to normal port operation
124
        GPIO_StructInit(&GPIO_InitStructure);
104
        GPIO_StructInit(&GPIO_InitStructure);
125
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
105
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
126
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
106
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
127
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
107
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
128
        GPIO_InitStructure.GPIO_IPInputConnected = GPIO_IPInputConnected_Disable;
108
        GPIO_InitStructure.GPIO_IPInputConnected = GPIO_IPInputConnected_Disable;
129
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt1;
109
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt1;
130
        GPIO_Init(GPIO2, &GPIO_InitStructure);
110
        GPIO_Init(GPIO2, &GPIO_InitStructure);
131
 
111
 
132
        u8 i;
112
        u8 i;
133
        u32 delay;
113
        u32 delay;
134
        // set SCL high and then SDA to low (start condition)
114
        // set SCL high and then SDA to low (start condition)
135
        GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
115
        GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
136
        delay = SetDelay(1);
116
        delay = SetDelay(1);
137
        while (!CheckDelay(delay));
117
        while (!CheckDelay(delay));
138
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_RESET);
118
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_RESET);
139
        // toggle SCL at least 10 times from high to low to high
119
        // toggle SCL at least 10 times from high to low to high
140
        for(i = 0; i < 10; i++)
120
        for(i = 0; i < 10; i++)
141
        {
121
        {
142
                delay = SetDelay(1);
122
                delay = SetDelay(1);
143
                while (!CheckDelay(delay));
123
                while (!CheckDelay(delay));
144
 
124
 
145
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_RESET);
125
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_RESET);
146
                delay = SetDelay(1);
126
                delay = SetDelay(1);
147
                while (!CheckDelay(delay));
127
                while (!CheckDelay(delay));
148
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
128
                GPIO_WriteBit(GPIO2, GPIO_Pin_2, Bit_SET);
149
        }
129
        }
150
        delay = SetDelay(1);
130
        delay = SetDelay(1);
151
        while (!CheckDelay(delay));
131
        while (!CheckDelay(delay));
152
        // create stop condition setting SDA HIGH when SCL is HIGH
132
        // create stop condition setting SDA HIGH when SCL is HIGH
153
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_SET);
133
        GPIO_WriteBit(GPIO2, GPIO_Pin_3, Bit_SET);
154
 
134
 
155
 
135
 
156
        // reconfigure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT
136
        // reconfigure P2.2->I2C1_CLKOUT and P2.3->I2C1_DOUT
157
        GPIO_StructInit(&GPIO_InitStructure);
137
        GPIO_StructInit(&GPIO_InitStructure);
158
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
138
        GPIO_InitStructure.GPIO_Direction = GPIO_PinOutput;
159
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
139
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3;
160
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
140
        GPIO_InitStructure.GPIO_Type = GPIO_Type_OpenCollector;
161
        GPIO_InitStructure.GPIO_IPInputConnected = GPIO_IPInputConnected_Enable;
141
        GPIO_InitStructure.GPIO_IPInputConnected = GPIO_IPInputConnected_Enable;
162
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt2; //I2C1_CLKOUT, I2C1_DOUT
142
        GPIO_InitStructure.GPIO_Alternate = GPIO_OutputAlt2; //I2C1_CLKOUT, I2C1_DOUT
163
        GPIO_Init(GPIO2, &GPIO_InitStructure);
143
        GPIO_Init(GPIO2, &GPIO_InitStructure);
164
 
144
 
165
        // enable I2C peripherie
145
        // enable I2C peripherie
166
        SCU_APBPeriphClockConfig(__I2C1,ENABLE);
146
        SCU_APBPeriphClockConfig(__I2C1,ENABLE);
167
        // reset I2C peripherie
147
        // reset I2C peripherie
168
        SCU_APBPeriphReset(__I2C1,ENABLE);
148
        SCU_APBPeriphReset(__I2C1,ENABLE);
169
        SCU_APBPeriphReset(__I2C1,DISABLE);
149
        SCU_APBPeriphReset(__I2C1,DISABLE);
170
 
150
 
171
        I2C_DeInit(I2C1);
151
        I2C_DeInit(I2C1);
172
        I2C_StructInit(&I2C_Struct);
152
        I2C_StructInit(&I2C_Struct);
173
        I2C_Struct.I2C_GeneralCall = I2C_GeneralCall_Disable;
153
        I2C_Struct.I2C_GeneralCall = I2C_GeneralCall_Disable;
174
        I2C_Struct.I2C_Ack = I2C_Ack_Enable;
154
        I2C_Struct.I2C_Ack = I2C_Ack_Enable;
175
        I2C_Struct.I2C_CLKSpeed = I2C1_CLOCK;
155
        I2C_Struct.I2C_CLKSpeed = I2C1_CLOCK;
176
        I2C_Struct.I2C_OwnAddress = 0x00;
156
        I2C_Struct.I2C_OwnAddress = 0x00;
177
        I2C_Init(I2C1, &I2C_Struct);
157
        I2C_Init(I2C1, &I2C_Struct);
178
 
158
 
179
        I2C_TxBuffer = NULL;
159
        // empty rx and tx buffer
180
        I2C_TxBufferSize = 0;
-
 
181
 
-
 
182
        I2C_RxBuffer = NULL;
160
        I2C_TxBufferSize = 0;
183
        I2C_RxBufferSize = 0;
161
        I2C_RxBufferSize = 0;
184
 
162
 
185
        I2C_Cmd(I2C1, ENABLE);
163
        I2C_Cmd(I2C1, ENABLE);
186
        I2C_ITConfig(I2C1, ENABLE);
164
        I2C_ITConfig(I2C1, ENABLE);
187
 
165
 
188
        VIC_Config(I2C1_ITLine, VIC_IRQ , PRIORITY_I2C1);
166
        VIC_Config(I2C1_ITLine, VIC_IRQ , PRIORITY_I2C1);
189
        I2C_Heading.Heading = -1;
-
 
190
 
167
 
191
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
168
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
192
        I2C_GenerateSTOP(I2C1, ENABLE);
169
        I2C_GenerateSTOP(I2C1, ENABLE);
193
        I2C_State = I2C_IDLE;
170
        I2C_State = I2C_STATE_IDLE;
194
 
-
 
195
        I2C_StopPolling = 0; // start polling
-
 
196
 
171
 
197
        UART1_PutString("ok");
172
        UART1_PutString("ok");
198
}
173
}
199
 
174
 
200
 
175
 
201
//--------------------------------------------------------------
176
//--------------------------------------------------------------
202
void I2C1_Deinit(void)
177
void I2C1_Deinit(void)
203
{
178
{
204
        GPIO_InitTypeDef  GPIO_InitStructure;
179
        GPIO_InitTypeDef  GPIO_InitStructure;
205
        I2C_StopPolling = 1;// stop polling
-
 
206
        UART1_PutString("\r\n I2C deinit...");
180
        UART1_PutString("\r\n I2C deinit...");
207
        I2C_GenerateStart(I2C1, DISABLE);
181
        I2C_GenerateStart(I2C1, DISABLE);
208
        I2C_GenerateSTOP(I2C1, ENABLE);
182
        I2C_GenerateSTOP(I2C1, ENABLE);
209
        VIC_ITCmd(I2C1_ITLine, DISABLE);
183
        VIC_ITCmd(I2C1_ITLine, DISABLE);
210
        I2C_State = I2C_OFF;
184
        I2C_State = I2C_STATE_OFF;
211
        I2C_ITConfig(I2C1, DISABLE);
185
        I2C_ITConfig(I2C1, DISABLE);
212
        I2C_Cmd(I2C1, DISABLE);
186
        I2C_Cmd(I2C1, DISABLE);
213
        I2C_DeInit(I2C1);
187
        I2C_DeInit(I2C1);
214
        SCU_APBPeriphClockConfig(__I2C1, DISABLE);
188
        SCU_APBPeriphClockConfig(__I2C1, DISABLE);
215
 
189
 
216
        // set ports to input
190
        // set ports to input
217
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
191
        SCU_APBPeriphClockConfig(__GPIO2, ENABLE);
218
        GPIO_StructInit(&GPIO_InitStructure);
192
        GPIO_StructInit(&GPIO_InitStructure);
219
        GPIO_InitStructure.GPIO_Direction =     GPIO_PinInput;
193
        GPIO_InitStructure.GPIO_Direction =     GPIO_PinInput;
220
        GPIO_InitStructure.GPIO_Pin =                   GPIO_Pin_2 | GPIO_Pin_3;
194
        GPIO_InitStructure.GPIO_Pin =                   GPIO_Pin_2 | GPIO_Pin_3;
221
        GPIO_InitStructure.GPIO_Type =                  GPIO_Type_PushPull;
195
        GPIO_InitStructure.GPIO_Type =                  GPIO_Type_PushPull;
222
        GPIO_InitStructure.GPIO_IPInputConnected =      GPIO_IPInputConnected_Disable;
196
        GPIO_InitStructure.GPIO_IPInputConnected =      GPIO_IPInputConnected_Disable;
223
        GPIO_InitStructure.GPIO_Alternate =     GPIO_InputAlt1;
197
        GPIO_InitStructure.GPIO_Alternate =     GPIO_InputAlt1;
224
        GPIO_Init(GPIO2, &GPIO_InitStructure);
198
        GPIO_Init(GPIO2, &GPIO_InitStructure);
225
 
199
 
226
        I2C_TxBuffer = NULL;
200
        // empty rx and tx buffer
227
        I2C_TxBufferSize = 0;
-
 
228
 
-
 
229
        I2C_RxBuffer = NULL;
201
        I2C_TxBufferSize = 0;
230
        I2C_RxBufferSize = 0;
202
        I2C_RxBufferSize = 0;
231
 
203
 
232
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
204
        I2C1_Timeout = SetDelay(10*I2C1_TIMEOUT);
233
        I2C_Heading.Heading = -1;
-
 
234
 
205
 
235
        UART1_PutString("ok");
206
        UART1_PutString("ok");
236
}
207
}
237
 
208
 
238
 
209
 
239
//--------------------------------------------------------------
210
//--------------------------------------------------------------
240
void I2C1_IRQHandler(void)
211
void I2C1_IRQHandler(void)
241
{
212
{
242
        static u8 Rx_Idx = 0, Tx_Idx = 0, crc = 0;
213
        static u8 Rx_Idx = 0, Tx_Idx = 0;
243
        static u8 I2C_PrimRxBuffer[10]; // must be larger than any of the secondary rx buffers
-
 
244
        u16 status;
214
        u16 status;
245
 
215
 
246
        //IENABLE;  // do not enable IRQ nesting for I2C!!!!
216
        //IENABLE;  // do not enable IRQ nesting for I2C!!!!
247
 
217
 
248
        // detemine I2C State
218
        // detemine I2C State
249
        status = I2C_GetLastEvent(I2C1);
219
        status = I2C_GetLastEvent(I2C1);
250
 
220
 
251
        if(status & (I2C_FLAG_AF|I2C_FLAG_BERR))  // if an acknowledge failure or bus error occured
221
        if(status & (I2C_FLAG_AF|I2C_FLAG_BERR))  // if an acknowledge failure or bus error occured
252
        {       // Set and subsequently clear the STOP bit while BTF is set.
222
        {       // Set and subsequently clear the STOP bit while BTF is set.
253
                while(I2C_GetFlagStatus (I2C1, I2C_FLAG_BTF) != RESET)
223
                while(I2C_GetFlagStatus (I2C1, I2C_FLAG_BTF) != RESET)
254
                {
224
                {
255
                        I2C_GenerateSTOP (I2C1, ENABLE);  // free the bus
225
                        I2C_GenerateSTOP (I2C1, ENABLE);  // free the bus
256
                        I2C_GenerateSTOP (I2C1, DISABLE); // free the bus
226
                        I2C_GenerateSTOP (I2C1, DISABLE); // free the bus
257
                }
227
                }
258
                I2C_State = I2C_IDLE;
228
                I2C_State = I2C_STATE_IDLE;
259
                VIC_ITCmd(I2C1_ITLine, DISABLE);
229
                VIC_ITCmd(I2C1_ITLine, DISABLE);
260
                LED_GRN_OFF;
230
                LED_GRN_OFF;
261
                return;
231
                return;
262
        }
232
        }
263
        else
233
        else
264
        {       // depending on current i2c state
234
        {       // depending on current i2c state
265
                switch (status)
235
                switch(status)
266
                {
236
                {
267
                        // the start condition was initiated on the bus
237
                        // the start condition was initiated on the bus
268
                        case I2C_EVENT_MASTER_MODE_SELECT:
238
                        case I2C_EVENT_MASTER_MODE_SELECT:
269
                                LED_GRN_ON;
239
                                LED_GRN_ON;
270
                                // update current bus state variable
240
                                // update current bus state variable
-
 
241
                                // jump to rx state if there is nothing to send
271
                                switch(I2C_Direction)
242
                                switch(I2C_Direction)
272
                                {
243
                                {
273
                                        case I2C_MODE_TRANSMITTER:
244
                                        case I2C_MODE_TRANSMITTER:
274
                                                I2C_State = I2C_TX_PROGRESS;
245
                                                I2C_State = I2C_STATE_TX_PROGRESS;
275
                                                break;
246
                                                break;
276
 
247
 
277
                                        case I2C_MODE_RECEIVER:
248
                                        case I2C_MODE_RECEIVER:
278
                                                if ((I2C_RxBuffer == NULL) || (I2C_RxBufferSize == 0))
249
                                                if (I2C_RxBufferSize == 0) // nothingto send?
279
                                                {
250
                                                {
280
                                                        I2C_GenerateSTOP (I2C1, ENABLE);
251
                                                        I2C_GenerateSTOP (I2C1, ENABLE);
281
                                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
252
                                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
282
                                                        LED_GRN_OFF;
253
                                                        LED_GRN_OFF;
283
                                                        I2C_State = I2C_IDLE;
254
                                                        I2C_State = I2C_STATE_IDLE;
284
                                                        return;
255
                                                        return;
285
                                                }
256
                                                }
286
                                                else
257
                                                else
287
                                                {
258
                                                {
288
                                                        I2C_State = I2C_RX_PROGRESS;
259
                                                        I2C_State = I2C_STATE_RX_PROGRESS;
289
                                                }
260
                                                }
290
                                                break;
261
                                                break;
291
 
262
 
292
                                        default: // invalid direction
263
                                        default: // invalid direction
293
                                                I2C_GenerateSTOP (I2C1, ENABLE);
264
                                                I2C_GenerateSTOP (I2C1, ENABLE);
294
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
265
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
295
                                                LED_GRN_OFF;
266
                                                LED_GRN_OFF;
296
                                                I2C_State = I2C_IDLE;
267
                                                I2C_State = I2C_STATE_IDLE;
297
                                                return;
268
                                                return;
298
                                }
269
                                }
299
                                // enable acknowledge
270
                                // enable acknowledge
300
                                I2C_AcknowledgeConfig (I2C1, ENABLE);
271
                                I2C_AcknowledgeConfig (I2C1, ENABLE);
301
                                // send address/direction byte on the bus
272
                                // send address/direction byte on the bus
302
                                I2C_Send7bitAddress(I2C1, I2C_SLAVE_ADDRESS, I2C_Direction);
273
                                I2C_Send7bitAddress(I2C1, I2C_SlaveAddress, I2C_Direction);
303
                                break;
274
                                break;
304
 
275
 
305
                        // the address byte was send
276
                        // the address byte was send
306
                        case I2C_EVENT_MASTER_MODE_SELECTED:
277
                        case I2C_EVENT_MASTER_MODE_SELECTED:
307
                                // Clear EV6 by set again the PE bit
278
                                // Clear EV6 by set again the PE bit
308
                                I2C_Cmd(I2C1, ENABLE);
279
                                I2C_Cmd(I2C1, ENABLE);
309
                                // reset checksum
-
 
310
                                crc = 0;
-
 
311
                                switch(I2C_State)
280
                                switch(I2C_State)
312
                                {
281
                                {
313
                                        case I2C_TX_PROGRESS:
282
                                        case I2C_STATE_TX_PROGRESS:
314
                                        // send command 1st data byte (allways the command id)
-
 
315
                                        I2C_SendData(I2C1, I2C_Command);
-
 
316
                                        crc += I2C_Command;
283
                                        // send 1st data byte
317
                                        Tx_Idx = 0;
284
                                        Tx_Idx = 0;
-
 
285
                                        I2C_SendData(I2C1, I2C_TxBuffer[Tx_Idx]);
-
 
286
                                        Tx_Idx++;
318
                                        // reset timeout
287
                                        // reset timeout
319
                                        I2C1_Timeout = SetDelay(I2C1_TIMEOUT); // after inactivity the I2C1 bus will be reset
288
                                        I2C1_Timeout = SetDelay(I2C1_TIMEOUT); // after inactivity the I2C1 bus will be reset
320
                                        break;
289
                                        break;
321
 
290
 
322
                                        case I2C_RX_PROGRESS:
291
                                        case I2C_STATE_RX_PROGRESS:
-
 
292
                                        Rx_Idx = 0;
-
 
293
                                        // disable acknoledge if only one byte has to be read
323
                                        Rx_Idx = 0;
294
                                        if(I2C_RxBufferSize == 1) I2C_AcknowledgeConfig (I2C1, DISABLE);               
324
                                        break;
295
                                        break;
325
 
296
 
326
                                        default: // unknown I2C state
297
                                        default: // unknown I2C state
327
                                        // should never happen
298
                                        // should never happen
328
                                        I2C_GenerateSTOP (I2C1, ENABLE);
299
                                        I2C_GenerateSTOP (I2C1, ENABLE);
329
                                        LED_GRN_OFF;
300
                                        LED_GRN_OFF;
330
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
301
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
331
                                        I2C_State = I2C_IDLE;
302
                                        I2C_State = I2C_STATE_IDLE;
332
                                        return;
303
                                        return;
333
                                        break;
304
                                        break;
334
                                }
305
                                }
335
                                break;
306
                                break;
336
 
307
 
337
                        // the master has transmitted a byte and slave has been acknowledged
308
                        // the master has transmitted a byte and slave has been acknowledged
338
                        case I2C_EVENT_MASTER_BYTE_TRANSMITTED:
309
                        case I2C_EVENT_MASTER_BYTE_TRANSMITTED:
339
 
310
 
340
                                // some bytes have to be transmitted
311
                                // some bytes have to be transmitted
341
                                if(Tx_Idx < I2C_TxBufferSize)
312
                                if(Tx_Idx < I2C_TxBufferSize)
342
                                {
313
                                {
343
                                        if(I2C_TxBuffer != NULL)
-
 
344
                                        {
-
 
345
                                                I2C_SendData(I2C1, I2C_TxBuffer[Tx_Idx]);
314
                                        I2C_SendData(I2C1, I2C_TxBuffer[Tx_Idx]);
346
                                                crc += I2C_TxBuffer[Tx_Idx];
-
 
347
                                        }
-
 
348
                                        else
315
                                        Tx_Idx++;
349
                                        {
-
 
350
                                                I2C_SendData(I2C1, 0x00);
-
 
351
                                        }
-
 
352
                                }
-
 
353
                                else if(Tx_Idx == I2C_TxBufferSize) // the last tx buffer byte was send
-
 
354
                                {
-
 
355
                                        // send crc byte at the end
-
 
356
                                        crc = ~crc; // flip all bits in the checksum
-
 
357
                                        I2C_SendData(I2C1, crc);
-
 
358
                                }
-
 
359
                                else if(Tx_Idx == (I2C_TxBufferSize+1) )
-
 
360
                                {
-
 
361
                                        I2C_SendData(I2C1, 0xAA); // send a dummybyte
-
 
362
                                }
316
                                }
363
                                else // last byte was send
317
                                else // last byte was send
364
                                {
318
                                {
365
                                        // generate stop or repeated start condition
319
                                        // generate stop or repeated start condition
366
                                        if ((I2C_RxBuffer != NULL) && (I2C_RxBufferSize > 0)) // is any answer byte expected?
320
                                        if (I2C_RxBufferSize > 0) // is any answer byte expected?
367
                                        {
321
                                        {
368
                                                I2C_Direction = I2C_MODE_RECEIVER; // switch to master receiver after repeated start condition
322
                                                I2C_Direction = I2C_MODE_RECEIVER; // switch to master receiver after repeated start condition
369
                                                I2C_GenerateStart(I2C1, ENABLE);   // initiate repeated start condition on the bus
323
                                                I2C_GenerateStart(I2C1, ENABLE);   // initiate repeated start condition on the bus
370
                                        }
324
                                        }
371
                                        else
325
                                        else
372
                                        {   // stop communication
326
                                        {   // stop communication
373
                                                I2C_GenerateSTOP(I2C1, ENABLE); // generate stop condition to free the bus
327
                                                I2C_GenerateSTOP(I2C1, ENABLE); // generate stop condition to free the bus
374
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
328
                                                VIC_ITCmd(I2C1_ITLine, DISABLE);
375
                                                LED_GRN_OFF;
329
                                                LED_GRN_OFF;
376
                                                DebugOut.Analog[15]++;
-
 
377
                                                I2C_State = I2C_IDLE;                   // ready for new actions
330
                                                I2C_State = I2C_STATE_IDLE;                     // ready for new actions        
378
                                               
-
 
379
                                        }
331
                                        }
380
                                }
332
                                }
381
                                Tx_Idx++;
-
 
382
                                break;
333
                                break;
383
 
334
 
384
                        // the master has received a byte from the slave
335
                        // the master has received a byte from the slave
385
                        case I2C_EVENT_MASTER_BYTE_RECEIVED:
336
                        case I2C_EVENT_MASTER_BYTE_RECEIVED:
386
                                // some bytes have to be received
337
                                // some bytes have to be received
387
                                if (Rx_Idx < I2C_RxBufferSize)
338
                                if ( Rx_Idx+1 < I2C_RxBufferSize)
388
                                {       // copy received byte  from the data register to the rx-buffer
339
                                {       // copy received byte  from the data register to the rx-buffer
389
                                        I2C_PrimRxBuffer[Rx_Idx] = I2C_ReceiveData(I2C1);
340
                                        I2C_RxBuffer[Rx_Idx] = I2C_ReceiveData(I2C1);
390
                                        // update checksum
-
 
391
                                        crc += I2C_PrimRxBuffer[Rx_Idx];
-
 
392
                                }
341
                                }
393
                                // if the last byte (crc) was received
342
                                else // if the last byte was received
394
                                else if ( Rx_Idx == I2C_RxBufferSize)
-
 
395
                                {
343
                                {
396
                                        // generate a STOP condition on the bus before reading data register
344
                                        // generate a STOP condition on the bus before reading data register
397
                                        I2C_GenerateSTOP(I2C1, ENABLE);
345
                                        I2C_GenerateSTOP(I2C1, ENABLE);
398
                                        // compare last byte with checksum
-
 
399
                                        crc = ~crc;// flip all bits in calulated checksum
-
 
400
                                        if(crc == I2C_ReceiveData(I2C1))
346
                                        I2C_RxBuffer[Rx_Idx] = I2C_ReceiveData(I2C1);
401
                                        {      
-
 
402
                                                // copy primary rx buffer content to rx buffer if exist
347
                                        // call the rx handler function to process recieved data
403
                                                if(I2C_RxBuffer != NULL)
-
 
404
                                                {
-
 
405
                                                        memcpy((u8 *)I2C_RxBuffer, (u8 *)I2C_PrimRxBuffer, I2C_RxBufferSize);
348
                                        if(I2C_pRxHandler != NULL) (*I2C_pRxHandler)((u8*)I2C_RxBuffer, I2C_RxBufferSize);
406
                                                }
-
 
407
                                                I2C1_Timeout = SetDelay(I2C1_TIMEOUT);
349
                                        I2C1_Timeout = SetDelay(I2C1_TIMEOUT);
408
                                                DebugOut.Analog[15]++;
350
                                        DebugOut.Analog[15]++;
409
                                        }
-
 
410
                                        else // checksum error detected
-
 
411
                                        {
-
 
412
                                                DebugOut.Analog[14]++;
-
 
413
                                        }
-
 
414
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
351
                                        VIC_ITCmd(I2C1_ITLine, DISABLE);
415
                                        LED_GRN_OFF;
352
                                        LED_GRN_OFF;
416
                                        I2C_State = I2C_IDLE;
353
                                        I2C_State = I2C_STATE_IDLE;
417
                                        return;
354
                                        return;
418
                                }
355
                                }
419
                                Rx_Idx++;
356
                                Rx_Idx++;
420
                                // if the 2nd last byte was received disable acknowledge for the last one
357
                                // if the 2nd last byte was received disable acknowledge for the last one
421
                                if ( Rx_Idx == I2C_RxBufferSize )
358
                                if ( (Rx_Idx + 1) == I2C_RxBufferSize )
422
                                {
359
                                {
423
                                        I2C_AcknowledgeConfig (I2C1, DISABLE);
360
                                        I2C_AcknowledgeConfig(I2C1, DISABLE);
424
                                }
361
                                }
425
                                break;
362
                                break;
426
 
363
 
-
 
364
                        default:// unknown event
-
 
365
                                // should never happen
-
 
366
                                I2C_GenerateSTOP (I2C1, ENABLE);
-
 
367
                                LED_GRN_OFF;
-
 
368
                                VIC_ITCmd(I2C1_ITLine, DISABLE);
427
                        default:
369
                                I2C_State = I2C_STATE_IDLE;
428
                                break;
370
                                break;
429
                }
371
                }
430
        }
372
        }
431
 
-
 
432
        //IDISABLE;      // do not enable IRQ nesting for I2C!!!!
373
        //IDISABLE;      // do not enable IRQ nesting for I2C!!!!
433
}
374
}
434
//----------------------------------------------------------------
375
// ----------------------------------------------------------------------------------------
435
void I2C1_SendCommand(u8 command)
376
// initate an i2c transmission
-
 
377
u8 I2C_Transmission(u8 SlaveAddr, I2C_pRxHandler_t pRxHandler, u8 RxBytes)
436
{
378
{
-
 
379
        u8 retval = 0;
-
 
380
 
437
        // disable I2C IRQ to check state
381
        if(I2C_State == I2C_STATE_IDLE)
-
 
382
        {
438
        VIC_ITCmd(I2C1_ITLine, DISABLE);
383
                I2C_RxBufferSize = RxBytes;
439
        // If I2C transmission is in progress
384
                // set direction to master transmitter
-
 
385
                if( (I2C_TxBufferSize > 0) && (I2C_TxBufferSize < I2C_TXBUFFER_LEN) ) I2C_Direction = I2C_MODE_TRANSMITTER;
-
 
386
                else if (( I2C_RxBufferSize > 0 ) && (I2C_RxBufferSize < I2C_RXBUFFER_LEN) ) I2C_Direction = I2C_MODE_RECEIVER;
440
        if(I2C_State == I2C_IDLE)
387
                else // nothing to send or receive
441
        {
388
                {
442
                // update current command id
-
 
443
                I2C_Command = command;
-
 
444
                // set pointers to data area with respect to the command id
-
 
445
                switch (command)
-
 
446
                {
-
 
447
                        case I2C_CMD_VERSION:
-
 
448
                                I2C_RxBuffer = (u8 *)&MK3MAG_Version;
-
 
449
                                I2C_RxBufferSize = sizeof(MK3MAG_Version);
-
 
450
                                I2C_TxBuffer = NULL;
-
 
451
                                I2C_TxBufferSize = 0;
-
 
452
                                break;
-
 
453
                        case I2C_CMD_WRITE_CAL:
-
 
454
                                I2C_RxBuffer = (u8 *)&I2C_ReadCal;
-
 
455
                                I2C_RxBufferSize = sizeof(I2C_ReadCal);
-
 
456
                                I2C_TxBuffer = (u8 *)&I2C_WriteCal;
-
 
457
                                I2C_TxBufferSize = sizeof(I2C_WriteCal);
-
 
458
                                break;
-
 
459
                        case I2C_CMD_READ_MAG:
-
 
460
                                I2C_RxBuffer = (u8 *)&I2C_Mag;
-
 
461
                                I2C_RxBufferSize = sizeof(I2C_Mag);
-
 
462
                                I2C_TxBuffer = NULL;
-
 
463
                                I2C_TxBufferSize = 0;
389
                        I2C_TxBufferSize = 0;
464
                                break;
-
 
465
                        case I2C_CMD_READ_HEADING:
-
 
466
                                I2C_RxBuffer = (u8 *)&I2C_Heading;
-
 
467
                                I2C_RxBufferSize = sizeof(I2C_Heading);
-
 
468
                                I2C_TxBuffer =  (u8 *)&I2C_WriteAttitude;
-
 
469
                                I2C_TxBufferSize = sizeof(I2C_WriteAttitude);
-
 
470
                                // update attitude from spi rx buffer
-
 
471
                                VIC_ITCmd(SSP0_ITLine, DISABLE); // avoid spi buffer update during copy
-
 
472
                                I2C_WriteAttitude.Roll = FromFlightCtrl.AngleRoll;
-
 
473
                                I2C_WriteAttitude.Nick = FromFlightCtrl.AngleNick;
-
 
474
                                VIC_ITCmd(SSP0_ITLine, ENABLE);
-
 
475
                                break;
-
 
476
                        default: // unknown command id
-
 
477
                                I2C_RxBuffer = NULL;
-
 
478
                                I2C_RxBufferSize = 0;
390
                        I2C_RxBufferSize = 0;
479
                                I2C_TxBuffer =  NULL;
391
                        // enable I2C IRQ again
480
                                I2C_TxBufferSize = 0;
392
                        VIC_ITCmd(I2C1_ITLine, ENABLE);
481
                                break;
393
                        return(retval);
482
                }
394
                }
483
                // set direction to master transmitter
395
                // update slave address and rx data handler     funbction pointer
-
 
396
                I2C_SlaveAddress = SlaveAddr;
484
                I2C_Direction = I2C_MODE_TRANSMITTER;
397
                I2C_pRxHandler = pRxHandler;
485
                // test on busy flag and clear it
398
                // test on busy flag and clear it
486
                I2C_CheckEvent( I2C1, I2C_FLAG_BUSY );
399
                I2C_CheckEvent( I2C1, I2C_FLAG_BUSY );
487
                // enable I2C IRQ again
-
 
488
                VIC_ITCmd(I2C1_ITLine, ENABLE);
-
 
489
                // initiate start condition on the bus
400
                // initiate start condition on the bus
490
                I2C_GenerateStart(I2C1, ENABLE);
401
                I2C_GenerateStart(I2C1, ENABLE);
491
                // to be continued in the I2C1_IRQHandler() above
-
 
492
        } // EOF I2C_State == I2C_IDLE
-
 
493
        else // I2C_State != I2C_IDLE
-
 
494
        {
-
 
495
                // re-enable I2C IRQ again
-
 
496
                VIC_ITCmd(I2C1_ITLine, ENABLE);
-
 
497
        }      
-
 
498
}
-
 
499
 
-
 
500
//----------------------------------------------------------------
-
 
501
void I2C1_GetMK3MagVersion(void)
-
 
502
{
-
 
503
        u8 msg[64];
-
 
504
        u8 repeat;
-
 
505
        u32 timeout;
-
 
506
       
-
 
507
        UART1_PutString("\r\n Getting Version from MK3MAG");
-
 
508
        // stop polling of other commands
-
 
509
        I2C_StopPolling = 1;
-
 
510
 
-
 
511
        MK3MAG_Version.Major = 0xFF;
-
 
512
        MK3MAG_Version.Minor = 0xFF;
-
 
513
        MK3MAG_Version.Patch = 0xFF;
-
 
514
        MK3MAG_Version.Compatible = 0xFF;
-
 
515
        // polling of version info
-
 
516
        repeat = 0;
402
                retval = 1;
517
        do
-
 
518
        {
-
 
519
                I2C1_SendCommand(I2C_CMD_VERSION);
-
 
520
                timeout = SetDelay(250);
-
 
521
                do
-
 
522
                {
-
 
523
                        if (MK3MAG_Version.Major != 0xFF) break; // break loop on success
-
 
524
                }while (!CheckDelay(timeout));
-
 
525
                UART1_PutString(".");
-
 
526
                repeat++;
-
 
527
        }while ((MK3MAG_Version.Major == 0xFF) && (repeat < 12)); // 12*250ms=3s
-
 
528
        // if we got it
-
 
529
        if (MK3MAG_Version.Major != 0xFF)
-
 
530
        {
-
 
531
                sprintf(msg, "\r\n MK3MAG V%d.%d%c", MK3MAG_Version.Major, MK3MAG_Version.Minor, 'a' + MK3MAG_Version.Patch);
-
 
532
                UART1_PutString(msg);
-
 
533
                sprintf(msg, " Compatible: %d", MK3MAG_Version.Compatible);
-
 
534
                UART1_PutString(msg);
-
 
535
        }
-
 
536
        else UART1_PutString("\n\r No version information from MK3Mag.");
-
 
537
 
-
 
538
        I2C_StopPolling = 0; // enable polling of heading command
-
 
539
}
-
 
540
 
-
 
541
 
-
 
542
//----------------------------------------------------------------
-
 
543
void I2C1_UpdateCompass(void)
-
 
544
{
-
 
545
        static u32 TimerCompassUpdate = 0;
-
 
546
 
-
 
547
        if( (I2C_State == I2C_OFF) || I2C_StopPolling ) return;
-
 
548
       
-
 
549
        if(CheckDelay(TimerCompassUpdate))
-
 
550
        {
-
 
551
                // check for incomming compass calibration request
-
 
552
                // update CalByte from spi input queue
-
 
553
                fifo_get(&CompassCalcStateFiFo, (u8 *)&(I2C_WriteCal.CalByte));
-
 
554
                // send new calstate
-
 
555
                if(I2C_ReadCal.CalByte != I2C_WriteCal.CalByte)
-
 
556
                {
-
 
557
                        I2C1_SendCommand(I2C_CMD_WRITE_CAL);
-
 
558
                }
-
 
559
                else // request current heading
-
 
560
                {
-
 
561
                        I2C1_SendCommand(I2C_CMD_READ_HEADING);
-
 
562
                }
-
 
563
                TimerCompassUpdate = SetDelay(20);    // every 20 ms are 50 Hz
-
 
564
        }
403
         }
-
 
404
         return(retval);
565
}
405
}
566
 
406