Subversion Repositories FlightCtrl

Compare Revisions

Ignore whitespace Rev 652 → Rev 653

/branches/MicroMag3_Nick666/Festkomma/V0.68d/uart.lst
0,0 → 1,1178
1 .file "uart.c"
2 .arch atmega644
3 __SREG__ = 0x3f
4 __SP_H__ = 0x3e
5 __SP_L__ = 0x3d
6 __tmp_reg__ = 0
7 __zero_reg__ = 1
8 .global __do_copy_data
9 .global __do_clear_bss
10 .text
11 .global __vector_22
13 __vector_22:
14 /* prologue: frame size=0 */
15 0000 1F92 push __zero_reg__
16 0002 0F92 push __tmp_reg__
17 0004 0FB6 in __tmp_reg__,__SREG__
18 0006 0F92 push __tmp_reg__
19 0008 1124 clr __zero_reg__
20 000a 8F93 push r24
21 000c 9F93 push r25
22 000e EF93 push r30
23 0010 FF93 push r31
24 /* prologue end (size=9) */
25 0012 8091 0000 lds r24,UebertragungAbgeschlossen
26 0016 8823 tst r24
27 0018 01F4 brne .L2
28 001a 8091 0000 lds r24,ptr.2041
29 001e 9091 0000 lds r25,(ptr.2041)+1
30 0022 0196 adiw r24,1
31 0024 9093 0000 sts (ptr.2041)+1,r25
32 0028 8093 0000 sts ptr.2041,r24
33 002c FC01 movw r30,r24
34 002e E050 subi r30,lo8(-(SendeBuffer))
35 0030 F040 sbci r31,hi8(-(SendeBuffer))
36 0032 E081 ld r30,Z
37 0034 ED30 cpi r30,lo8(13)
38 0036 01F0 breq .L4
39 0038 8639 cpi r24,150
40 003a 9105 cpc r25,__zero_reg__
41 003c 01F4 brne .L6
42 .L4:
43 003e 1092 0000 sts (ptr.2041)+1,__zero_reg__
44 0042 1092 0000 sts ptr.2041,__zero_reg__
45 0046 81E0 ldi r24,lo8(1)
46 0048 8093 0000 sts UebertragungAbgeschlossen,r24
47 .L6:
48 004c E093 C600 sts 198,r30
49 0050 00C0 rjmp .L8
50 .L2:
51 0052 1092 0000 sts (ptr.2041)+1,__zero_reg__
52 0056 1092 0000 sts ptr.2041,__zero_reg__
53 .L8:
54 /* epilogue: frame size=0 */
55 005a FF91 pop r31
56 005c EF91 pop r30
57 005e 9F91 pop r25
58 0060 8F91 pop r24
59 0062 0F90 pop __tmp_reg__
60 0064 0FBE out __SREG__,__tmp_reg__
61 0066 0F90 pop __tmp_reg__
62 0068 1F90 pop __zero_reg__
63 006a 1895 reti
64 /* epilogue end (size=9) */
65 /* function __vector_22 size 54 (36) */
67 .global __vector_20
69 __vector_20:
70 /* prologue: frame size=0 */
71 006c 1F92 push __zero_reg__
72 006e 0F92 push __tmp_reg__
73 0070 0FB6 in __tmp_reg__,__SREG__
74 0072 0F92 push __tmp_reg__
75 0074 1124 clr __zero_reg__
76 0076 2F93 push r18
77 0078 3F93 push r19
78 007a 4F93 push r20
79 007c 5F93 push r21
80 007e 6F93 push r22
81 0080 7F93 push r23
82 0082 8F93 push r24
83 0084 9F93 push r25
84 0086 EF93 push r30
85 0088 FF93 push r31
86 /* prologue end (size=15) */
87 008a 9091 C600 lds r25,198
88 008e 6091 0000 lds r22,buf_ptr.2057
89 0092 6639 cpi r22,lo8(-106)
90 0094 00F0 brlo .L10
91 0096 1092 0000 sts UartState.2058,__zero_reg__
92 009a 00C0 rjmp .L23
93 .L10:
94 009c 6623 tst r22
95 009e 01F4 brne .L13
96 00a0 9332 cpi r25,lo8(35)
97 00a2 01F4 brne .L15
98 00a4 8091 0000 lds r24,NeuerDatensatzEmpfangen
99 00a8 8823 tst r24
100 00aa 01F4 brne .L15
101 00ac 81E0 ldi r24,lo8(1)
102 00ae 8093 0000 sts buf_ptr.2057,r24
103 .L15:
104 00b2 9093 0000 sts RxdBuffer,r25
105 00b6 892F mov r24,r25
106 00b8 9927 clr r25
107 00ba 9093 0000 sts (crc.2054)+1,r25
108 00be 8093 0000 sts crc.2054,r24
109 00c2 00C0 rjmp .L26
110 .L13:
111 00c4 E62F mov r30,r22
112 00c6 FF27 clr r31
113 00c8 2091 0000 lds r18,crc.2054
114 00cc 3091 0000 lds r19,(crc.2054)+1
115 00d0 9D30 cpi r25,lo8(13)
116 00d2 01F0 breq .L18
117 00d4 E050 subi r30,lo8(-(RxdBuffer))
118 00d6 F040 sbci r31,hi8(-(RxdBuffer))
119 00d8 9083 st Z,r25
120 00da 6F5F subi r22,lo8(-(1))
121 00dc 6093 0000 sts buf_ptr.2057,r22
122 00e0 290F add r18,r25
123 00e2 311D adc r19,__zero_reg__
124 00e4 3093 0000 sts (crc.2054)+1,r19
125 00e8 2093 0000 sts crc.2054,r18
126 00ec 00C0 rjmp .L26
127 .L18:
128 00ee E050 subi r30,lo8(-(RxdBuffer))
129 00f0 F040 sbci r31,hi8(-(RxdBuffer))
130 00f2 3297 sbiw r30,2
131 00f4 8081 ld r24,Z
132 00f6 3296 adiw r30,2
133 00f8 7291 ld r23,-Z
134 00fa 3196 adiw r30,1
135 00fc 281B sub r18,r24
136 00fe 3109 sbc r19,__zero_reg__
137 0100 271B sub r18,r23
138 0102 3109 sbc r19,__zero_reg__
139 0104 3F70 andi r19,hi8(4095)
140 0106 3093 0000 sts (crc.2054)+1,r19
141 010a 2093 0000 sts crc.2054,r18
142 010e A901 movw r20,r18
143 0110 36E0 ldi r19,6
144 0112 5695 1: lsr r21
145 0114 4795 ror r20
146 0116 3A95 dec r19
147 0118 01F4 brne 1b
148 011a 435C subi r20,lo8(-(61))
149 011c 4093 0000 sts crc1.2055,r20
150 0120 2F73 andi r18,lo8(63)
151 0122 235C subi r18,lo8(-(61))
152 0124 2093 0000 sts crc2.2056,r18
153 0128 4817 cp r20,r24
154 012a 01F4 brne .L20
155 012c 2717 cp r18,r23
156 012e 01F4 brne .L20
157 0130 8091 0000 lds r24,NeuerDatensatzEmpfangen
158 0134 8823 tst r24
159 0136 01F4 brne .L23
160 0138 81E0 ldi r24,lo8(1)
161 013a 8093 0000 sts NeuerDatensatzEmpfangen,r24
162 013e 6093 0000 sts AnzahlEmpfangsBytes,r22
163 0142 9083 st Z,r25
164 0144 8091 0000 lds r24,RxdBuffer+2
165 0148 8235 cpi r24,lo8(82)
166 014a 01F4 brne .L23
167 014c 88E1 ldi r24,lo8(24)
168 014e 90E0 ldi r25,hi8(24)
169 0150 2CE0 ldi r18,lo8(12)
170 /* #APP */
171 0152 0FB6 in __tmp_reg__,__SREG__
172 0154 F894 cli
173 0156 A895 wdr
174 0158 8093 6000 sts 96,r24
175 015c 0FBE out __SREG__,__tmp_reg__
176 015e 2093 6000 sts 96,r18
177 /* #NOAPP */
178 0162 00C0 rjmp .L23
179 .L20:
180 0164 8091 0000 lds r24,CntCrcError
181 0168 8F5F subi r24,lo8(-(1))
182 016a 8093 0000 sts CntCrcError,r24
183 .L23:
184 016e 1092 0000 sts buf_ptr.2057,__zero_reg__
185 .L26:
186 /* epilogue: frame size=0 */
187 0172 FF91 pop r31
188 0174 EF91 pop r30
189 0176 9F91 pop r25
190 0178 8F91 pop r24
191 017a 7F91 pop r23
192 017c 6F91 pop r22
193 017e 5F91 pop r21
194 0180 4F91 pop r20
195 0182 3F91 pop r19
196 0184 2F91 pop r18
197 0186 0F90 pop __tmp_reg__
198 0188 0FBE out __SREG__,__tmp_reg__
199 018a 0F90 pop __tmp_reg__
200 018c 1F90 pop __zero_reg__
201 018e 1895 reti
202 /* epilogue end (size=15) */
203 /* function __vector_20 size 151 (121) */
205 .global AddCRC
207 AddCRC:
208 /* prologue: frame size=0 */
209 /* prologue end (size=0) */
210 0190 AC01 movw r20,r24
211 0192 20E0 ldi r18,lo8(0)
212 0194 30E0 ldi r19,hi8(0)
213 0196 60E0 ldi r22,lo8(0)
214 0198 70E0 ldi r23,hi8(0)
215 019a E0E0 ldi r30,lo8(SendeBuffer)
216 019c F0E0 ldi r31,hi8(SendeBuffer)
217 019e 00C0 rjmp .L28
218 .L29:
219 01a0 8191 ld r24,Z+
220 01a2 280F add r18,r24
221 01a4 311D adc r19,__zero_reg__
222 01a6 6F5F subi r22,lo8(-(1))
223 01a8 7F4F sbci r23,hi8(-(1))
224 .L28:
225 01aa 6417 cp r22,r20
226 01ac 7507 cpc r23,r21
227 01ae 01F4 brne .L29
228 01b0 3F70 andi r19,hi8(4095)
229 01b2 FA01 movw r30,r20
230 01b4 E050 subi r30,lo8(-(SendeBuffer))
231 01b6 F040 sbci r31,hi8(-(SendeBuffer))
232 01b8 C901 movw r24,r18
233 01ba 66E0 ldi r22,6
234 01bc 9695 1: lsr r25
235 01be 8795 ror r24
236 01c0 6A95 dec r22
237 01c2 01F4 brne 1b
238 01c4 835C subi r24,lo8(-(61))
239 01c6 8083 st Z,r24
240 01c8 FA01 movw r30,r20
241 01ca E050 subi r30,lo8(-(SendeBuffer+1))
242 01cc F040 sbci r31,hi8(-(SendeBuffer+1))
243 01ce 2F73 andi r18,lo8(63)
244 01d0 235C subi r18,lo8(-(61))
245 01d2 2083 st Z,r18
246 01d4 8DE0 ldi r24,lo8(13)
247 01d6 8183 std Z+1,r24
248 01d8 1092 0000 sts UebertragungAbgeschlossen,__zero_reg__
249 01dc 8091 0000 lds r24,SendeBuffer
250 01e0 8093 C600 sts 198,r24
251 /* epilogue: frame size=0 */
252 01e4 0895 ret
253 /* epilogue end (size=1) */
254 /* function AddCRC size 44 (43) */
256 .global SendOutData
258 SendOutData:
259 /* prologue: frame size=0 */
260 01e6 0F93 push r16
261 01e8 1F93 push r17
262 01ea CF93 push r28
263 01ec DF93 push r29
264 /* prologue end (size=4) */
265 01ee 8A01 movw r16,r20
266 01f0 722F mov r23,r18
267 01f2 93E2 ldi r25,lo8(35)
268 01f4 9093 0000 sts SendeBuffer,r25
269 01f8 6093 0000 sts SendeBuffer+1,r22
270 01fc 8093 0000 sts SendeBuffer+2,r24
271 0200 60E0 ldi r22,lo8(0)
272 0202 A0E0 ldi r26,lo8(SendeBuffer+3)
273 0204 B0E0 ldi r27,hi8(SendeBuffer+3)
274 0206 00C0 rjmp .L33
275 .L34:
276 0208 F801 movw r30,r16
277 020a E60F add r30,r22
278 020c F11D adc r31,__zero_reg__
279 020e 9081 ld r25,Z
280 0210 6F5F subi r22,lo8(-(1))
281 0212 7150 subi r23,lo8(-(-1))
282 0214 01F4 brne .L35
283 0216 E0E0 ldi r30,lo8(0)
284 0218 40E0 ldi r20,lo8(0)
285 021a 00C0 rjmp .L37
286 .L35:
287 021c F801 movw r30,r16
288 021e E60F add r30,r22
289 0220 F11D adc r31,__zero_reg__
290 0222 4081 ld r20,Z
291 0224 6F5F subi r22,lo8(-(1))
292 0226 7150 subi r23,lo8(-(-1))
293 0228 01F4 brne .L38
294 022a E0E0 ldi r30,lo8(0)
295 022c 00C0 rjmp .L37
296 .L38:
297 022e F801 movw r30,r16
298 0230 E60F add r30,r22
299 0232 F11D adc r31,__zero_reg__
300 0234 E081 ld r30,Z
301 0236 6F5F subi r22,lo8(-(1))
302 0238 7150 subi r23,lo8(-(-1))
303 .L37:
304 023a 892F mov r24,r25
305 023c 8695 lsr r24
306 023e 8695 lsr r24
307 0240 835C subi r24,lo8(-(61))
308 0242 8C93 st X,r24
309 0244 5527 clr r21
310 0246 892F mov r24,r25
311 0248 9927 clr r25
312 024a 8370 andi r24,lo8(3)
313 024c 9070 andi r25,hi8(3)
314 024e 24E0 ldi r18,4
315 0250 880F 1: lsl r24
316 0252 991F rol r25
317 0254 2A95 dec r18
318 0256 01F4 brne 1b
319 0258 9A01 movw r18,r20
320 025a 94E0 ldi r25,4
321 025c 3695 1: lsr r19
322 025e 2795 ror r18
323 0260 9A95 dec r25
324 0262 01F4 brne 1b
325 0264 822B or r24,r18
326 0266 835C subi r24,lo8(-(61))
327 0268 ED01 movw r28,r26
328 026a 8983 std Y+1,r24
329 026c 4F70 andi r20,lo8(15)
330 026e 5070 andi r21,hi8(15)
331 0270 440F lsl r20
332 0272 551F rol r21
333 0274 440F lsl r20
334 0276 551F rol r21
335 0278 8E2F mov r24,r30
336 027a 8295 swap r24
337 027c 8695 lsr r24
338 027e 8695 lsr r24
339 0280 8370 andi r24,0x3
340 0282 842B or r24,r20
341 0284 835C subi r24,lo8(-(61))
342 0286 8A83 std Y+2,r24
343 0288 EF73 andi r30,lo8(63)
344 028a E35C subi r30,lo8(-(61))
345 028c EB83 std Y+3,r30
346 028e 1496 adiw r26,4
347 .L33:
348 0290 7723 tst r23
349 0292 01F0 breq .+2
350 0294 00C0 rjmp .L34
351 0296 CD01 movw r24,r26
352 0298 8050 subi r24,lo8(SendeBuffer)
353 029a 9040 sbci r25,hi8(SendeBuffer)
354 029c 0E94 0000 call AddCRC
355 /* epilogue: frame size=0 */
356 02a0 DF91 pop r29
357 02a2 CF91 pop r28
358 02a4 1F91 pop r17
359 02a6 0F91 pop r16
360 02a8 0895 ret
361 /* epilogue end (size=5) */
362 /* function SendOutData size 100 (91) */
364 .global Decode64
366 Decode64:
367 /* prologue: frame size=0 */
368 02aa 1F93 push r17
369 02ac CF93 push r28
370 02ae DF93 push r29
371 /* prologue end (size=3) */
372 02b0 EC01 movw r28,r24
373 02b2 70E0 ldi r23,lo8(0)
374 02b4 A22F mov r26,r18
375 02b6 BB27 clr r27
376 02b8 1297 sbiw r26,2
377 02ba 00C0 rjmp .L43
378 .L44:
379 02bc E42F mov r30,r20
380 02be FF27 clr r31
381 02c0 E050 subi r30,lo8(-(RxdBuffer))
382 02c2 F040 sbci r31,hi8(-(RxdBuffer))
383 02c4 3081 ld r19,Z
384 02c6 4F5F subi r20,lo8(-(1))
385 02c8 E42F mov r30,r20
386 02ca FF27 clr r31
387 02cc E050 subi r30,lo8(-(RxdBuffer))
388 02ce F040 sbci r31,hi8(-(RxdBuffer))
389 02d0 5081 ld r21,Z
390 02d2 4F5F subi r20,lo8(-(1))
391 02d4 E42F mov r30,r20
392 02d6 FF27 clr r31
393 02d8 E050 subi r30,lo8(-(RxdBuffer))
394 02da F040 sbci r31,hi8(-(RxdBuffer))
395 02dc 1081 ld r17,Z
396 02de 4F5F subi r20,lo8(-(1))
397 02e0 E42F mov r30,r20
398 02e2 FF27 clr r31
399 02e4 E050 subi r30,lo8(-(RxdBuffer))
400 02e6 F040 sbci r31,hi8(-(RxdBuffer))
401 02e8 2081 ld r18,Z
402 02ea 4F5F subi r20,lo8(-(1))
403 02ec 842F mov r24,r20
404 02ee 9927 clr r25
405 02f0 A817 cp r26,r24
406 02f2 B907 cpc r27,r25
407 02f4 04F0 brlt .L49
408 02f6 5D53 subi r21,lo8(-(-61))
409 02f8 FE01 movw r30,r28
410 02fa E70F add r30,r23
411 02fc F11D adc r31,__zero_reg__
412 02fe 3D53 subi r19,lo8(-(-61))
413 0300 330F lsl r19
414 0302 330F lsl r19
415 0304 852F mov r24,r21
416 0306 8295 swap r24
417 0308 8F70 andi r24,0x0f
418 030a 382B or r19,r24
419 030c 3083 st Z,r19
420 030e 6130 cpi r22,lo8(1)
421 0310 01F0 breq .L49
422 0312 912F mov r25,r17
423 0314 9D53 subi r25,lo8(-(-61))
424 0316 7F5F subi r23,lo8(-(1))
425 0318 FE01 movw r30,r28
426 031a E70F add r30,r23
427 031c F11D adc r31,__zero_reg__
428 031e 7150 subi r23,lo8(-(-1))
429 0320 5295 swap r21
430 0322 507F andi r21,0xf0
431 0324 892F mov r24,r25
432 0326 8695 lsr r24
433 0328 8695 lsr r24
434 032a 582B or r21,r24
435 032c 5083 st Z,r21
436 032e 6350 subi r22,lo8(-(-3))
437 0330 6F3F cpi r22,lo8(-1)
438 0332 01F0 breq .L49
439 0334 7E5F subi r23,lo8(-(2))
440 0336 FE01 movw r30,r28
441 0338 E70F add r30,r23
442 033a F11D adc r31,__zero_reg__
443 033c 9295 swap r25
444 033e 990F lsl r25
445 0340 990F lsl r25
446 0342 907C andi r25,0xc0
447 0344 2D53 subi r18,lo8(-(-61))
448 0346 922B or r25,r18
449 0348 9083 st Z,r25
450 034a 7F5F subi r23,lo8(-(1))
451 .L43:
452 034c 6623 tst r22
453 034e 01F0 breq .+2
454 0350 00C0 rjmp .L44
455 .L49:
456 /* epilogue: frame size=0 */
457 0352 DF91 pop r29
458 0354 CF91 pop r28
459 0356 1F91 pop r17
460 0358 0895 ret
461 /* epilogue end (size=4) */
462 /* function Decode64 size 88 (81) */
464 .global uart_putchar
466 uart_putchar:
467 /* prologue: frame size=0 */
468 035a 1F93 push r17
469 /* prologue end (size=1) */
470 035c 182F mov r17,r24
471 035e 8A30 cpi r24,lo8(10)
472 0360 01F4 brne .L55
473 0362 8DE0 ldi r24,lo8(13)
474 0364 0E94 0000 call uart_putchar
475 .L55:
476 0368 8091 C000 lds r24,192
477 036c 85FF sbrs r24,5
478 036e 00C0 rjmp .L55
479 0370 1093 C600 sts 198,r17
480 0374 80E0 ldi r24,lo8(0)
481 0376 90E0 ldi r25,hi8(0)
482 /* epilogue: frame size=0 */
483 0378 1F91 pop r17
484 037a 0895 ret
485 /* epilogue end (size=2) */
486 /* function uart_putchar size 17 (14) */
488 .global WriteProgramData
490 WriteProgramData:
491 /* prologue: frame size=0 */
492 /* prologue end (size=0) */
493 /* epilogue: frame size=0 */
494 037c 0895 ret
495 /* epilogue end (size=1) */
496 /* function WriteProgramData size 1 (0) */
498 .global UART_Init
500 UART_Init:
501 /* prologue: frame size=0 */
502 /* prologue end (size=0) */
503 037e E1EC ldi r30,lo8(193)
504 0380 F0E0 ldi r31,hi8(193)
505 0382 88E1 ldi r24,lo8(24)
506 0384 8083 st Z,r24
507 0386 A0EC ldi r26,lo8(192)
508 0388 B0E0 ldi r27,hi8(192)
509 038a 8C91 ld r24,X
510 038c 8260 ori r24,lo8(2)
511 038e 8C93 st X,r24
512 0390 8081 ld r24,Z
513 0392 8068 ori r24,lo8(-128)
514 0394 8083 st Z,r24
515 0396 8081 ld r24,Z
516 0398 8064 ori r24,lo8(64)
517 039a 8083 st Z,r24
518 039c 8AE2 ldi r24,lo8(42)
519 039e 8093 C400 sts 196,r24
520 03a2 88EC ldi r24,lo8(200)
521 03a4 90E0 ldi r25,hi8(200)
522 03a6 0E94 0000 call SetDelay
523 03aa 9093 0000 sts (Debug_Timer)+1,r25
524 03ae 8093 0000 sts Debug_Timer,r24
525 /* epilogue: frame size=0 */
526 03b2 0895 ret
527 /* epilogue end (size=1) */
528 /* function UART_Init size 27 (26) */
530 .global DatenUebertragung
532 DatenUebertragung:
533 /* prologue: frame size=0 */
534 /* prologue end (size=0) */
535 03b4 8091 0000 lds r24,UebertragungAbgeschlossen
536 03b8 8823 tst r24
537 03ba 01F4 brne .+2
538 03bc 00C0 rjmp .L85
539 03be 8091 0000 lds r24,DebugGetAnforderung
540 03c2 8823 tst r24
541 03c4 01F0 breq .L65
542 03c6 8091 0000 lds r24,UebertragungAbgeschlossen
543 03ca 8823 tst r24
544 03cc 01F0 breq .L65
545 03ce 2BE0 ldi r18,lo8(11)
546 03d0 40E0 ldi r20,lo8(ExternControl)
547 03d2 50E0 ldi r21,hi8(ExternControl)
548 03d4 6091 0000 lds r22,MeineSlaveAdresse
549 03d8 87E4 ldi r24,lo8(71)
550 03da 0E94 0000 call SendOutData
551 03de 1092 0000 sts DebugGetAnforderung,__zero_reg__
552 .L65:
553 03e2 8091 0000 lds r24,Debug_Timer
554 03e6 9091 0000 lds r25,(Debug_Timer)+1
555 03ea 0E94 0000 call CheckDelay
556 03ee 8823 tst r24
557 03f0 01F4 brne .L68
558 03f2 8091 0000 lds r24,DebugDataAnforderung
559 03f6 8823 tst r24
560 03f8 01F0 breq .L70
561 .L68:
562 03fa 8091 0000 lds r24,UebertragungAbgeschlossen
563 03fe 8823 tst r24
564 0400 01F0 breq .L70
565 0402 22E4 ldi r18,lo8(66)
566 0404 40E0 ldi r20,lo8(DebugOut)
567 0406 50E0 ldi r21,hi8(DebugOut)
568 0408 6091 0000 lds r22,MeineSlaveAdresse
569 040c 84E4 ldi r24,lo8(68)
570 040e 0E94 0000 call SendOutData
571 0412 1092 0000 sts DebugDataAnforderung,__zero_reg__
572 0416 84EF ldi r24,lo8(500)
573 0418 91E0 ldi r25,hi8(500)
574 041a 0E94 0000 call SetDelay
575 041e 9093 0000 sts (Debug_Timer)+1,r25
576 0422 8093 0000 sts Debug_Timer,r24
577 .L70:
578 0426 6091 0000 lds r22,DebugTextAnforderung
579 042a 6F3F cpi r22,lo8(-1)
580 042c 01F0 breq .L72
581 042e 462F mov r20,r22
582 0430 5527 clr r21
583 0432 34E0 ldi r19,4
584 0434 440F 1: lsl r20
585 0436 551F rol r21
586 0438 3A95 dec r19
587 043a 01F4 brne 1b
588 043c 4050 subi r20,lo8(-(ANALOG_TEXT))
589 043e 5040 sbci r21,hi8(-(ANALOG_TEXT))
590 0440 605D subi r22,lo8(-(48))
591 0442 20E1 ldi r18,lo8(16)
592 0444 81E4 ldi r24,lo8(65)
593 0446 0E94 0000 call SendOutData
594 044a 8FEF ldi r24,lo8(-1)
595 044c 8093 0000 sts DebugTextAnforderung,r24
596 .L72:
597 0450 2091 0000 lds r18,ConfirmFrame
598 0454 2223 tst r18
599 0456 01F0 breq .L74
600 0458 8091 0000 lds r24,UebertragungAbgeschlossen
601 045c 8823 tst r24
602 045e 01F0 breq .L74
603 0460 93E2 ldi r25,lo8(35)
604 0462 9093 0000 sts SendeBuffer,r25
605 0466 2093 0000 sts SendeBuffer+1,r18
606 046a 8DE0 ldi r24,lo8(13)
607 046c 8093 0000 sts SendeBuffer+2,r24
608 0470 1092 0000 sts UebertragungAbgeschlossen,__zero_reg__
609 0474 1092 0000 sts ConfirmFrame,__zero_reg__
610 0478 9093 C600 sts 198,r25
611 .L74:
612 047c 8091 0000 lds r24,DebugDisplayAnforderung
613 0480 8823 tst r24
614 0482 01F0 breq .L77
615 0484 8091 0000 lds r24,UebertragungAbgeschlossen
616 0488 8823 tst r24
617 048a 01F0 breq .L77
618 048c 0E94 0000 call Menu
619 0490 1092 0000 sts DebugDisplayAnforderung,__zero_reg__
620 0494 3091 0000 lds r19,RemotePollDisplayLine
621 0498 932F mov r25,r19
622 049a 9F5F subi r25,lo8(-(1))
623 049c 9093 0000 sts RemotePollDisplayLine,r25
624 04a0 9430 cpi r25,lo8(4)
625 04a2 01F0 breq .L80
626 04a4 8091 0000 lds r24,NurKanalAnforderung
627 04a8 8823 tst r24
628 04aa 01F0 breq .L82
629 .L80:
630 04ac 26E1 ldi r18,lo8(22)
631 04ae 40E0 ldi r20,lo8(PPM_in)
632 04b0 50E0 ldi r21,hi8(PPM_in)
633 04b2 60E0 ldi r22,lo8(0)
634 04b4 84E3 ldi r24,lo8(52)
635 04b6 0E94 0000 call SendOutData
636 04ba 8FEF ldi r24,lo8(-1)
637 04bc 8093 0000 sts RemotePollDisplayLine,r24
638 04c0 00C0 rjmp .L77
639 .L82:
640 04c2 44E1 ldi r20,lo8(20)
641 04c4 949F mul r25,r20
642 04c6 A001 movw r20,r0
643 04c8 1124 clr r1
644 04ca 4050 subi r20,lo8(-(DisplayBuff))
645 04cc 5040 sbci r21,hi8(-(DisplayBuff))
646 04ce 24E1 ldi r18,lo8(20)
647 04d0 60E0 ldi r22,lo8(0)
648 04d2 832F mov r24,r19
649 04d4 8F5C subi r24,lo8(-(49))
650 04d6 0E94 0000 call SendOutData
651 .L77:
652 04da 8091 0000 lds r24,GetVersionAnforderung
653 04de 8823 tst r24
654 04e0 01F0 breq .L85
655 04e2 8091 0000 lds r24,UebertragungAbgeschlossen
656 04e6 8823 tst r24
657 04e8 01F0 breq .L85
658 04ea 2AE0 ldi r18,lo8(10)
659 04ec 40E0 ldi r20,lo8(VersionInfo)
660 04ee 50E0 ldi r21,hi8(VersionInfo)
661 04f0 6091 0000 lds r22,MeineSlaveAdresse
662 04f4 86E5 ldi r24,lo8(86)
663 04f6 0E94 0000 call SendOutData
664 04fa 1092 0000 sts GetVersionAnforderung,__zero_reg__
665 .L85:
666 04fe 0895 ret
667 /* epilogue: frame size=0 */
668 /* epilogue: noreturn */
669 /* epilogue end (size=0) */
670 /* function DatenUebertragung size 166 (166) */
672 .global BearbeiteRxDaten
674 BearbeiteRxDaten:
675 /* prologue: frame size=2 */
676 0500 A2E0 ldi r26,lo8(2)
677 0502 B0E0 ldi r27,hi8(2)
678 0504 E0E0 ldi r30,lo8(gs(1f))
679 0506 F0E0 ldi r31,hi8(gs(1f))
680 0508 0C94 0000 jmp __prologue_saves__+30
681 1:
682 /* prologue end (size=6) */
683 050c 8091 0000 lds r24,NeuerDatensatzEmpfangen
684 0510 8823 tst r24
685 0512 01F4 brne .+2
686 0514 00C0 rjmp .L108
687 0516 8FEF ldi r24,lo8(-1)
688 0518 8093 0000 sts PcZugriff,r24
689 051c 8091 0000 lds r24,RxdBuffer+2
690 0520 9927 clr r25
691 0522 AA27 clr r26
692 0524 BB27 clr r27
693 0526 FC01 movw r30,r24
694 0528 E156 subi r30,lo8(-(-97))
695 052a F040 sbci r31,hi8(-(-97))
696 052c E631 cpi r30,22
697 052e F105 cpc r31,__zero_reg__
698 0530 00F0 brlo .+2
699 0532 00C0 rjmp .L89
700 0534 E050 subi r30,lo8(-(gs(.L100)))
701 0536 F040 sbci r31,hi8(-(gs(.L100)))
702 0538 0C94 0000 jmp __tablejump2__
703 .data
704 .section .progmem.gcc_sw_table, "a", @progbits
705 .p2align 1
706 .L100:
707 .data
708 .section .progmem.gcc_sw_table, "a", @progbits
709 .p2align 1
710 0000 0000 .word gs(.L90)
711 0002 0000 .word gs(.L91)
712 0004 0000 .word gs(.L92)
713 0006 0000 .word gs(.L89)
714 0008 0000 .word gs(.L89)
715 000a 0000 .word gs(.L89)
716 000c 0000 .word gs(.L93)
717 000e 0000 .word gs(.L94)
718 0010 0000 .word gs(.L89)
719 0012 0000 .word gs(.L89)
720 0014 0000 .word gs(.L95)
721 0016 0000 .word gs(.L96)
722 0018 0000 .word gs(.L96)
723 001a 0000 .word gs(.L96)
724 001c 0000 .word gs(.L96)
725 001e 0000 .word gs(.L96)
726 0020 0000 .word gs(.L97)
727 0022 0000 .word gs(.L89)
728 0024 0000 .word gs(.L89)
729 0026 0000 .word gs(.L98)
730 0028 0000 .word gs(.L89)
731 002a 0000 .word gs(.L99)
732 .text
733 .L90:
734 053c 2091 0000 lds r18,AnzahlEmpfangsBytes
735 0540 43E0 ldi r20,lo8(3)
736 0542 62E0 ldi r22,lo8(2)
737 0544 CE01 movw r24,r28
738 0546 0196 adiw r24,1
739 0548 0E94 0000 call Decode64
740 054c 8981 ldd r24,Y+1
741 054e 8093 0000 sts DebugTextAnforderung,r24
742 0552 00C0 rjmp .L89
743 .L91:
744 0554 2091 0000 lds r18,AnzahlEmpfangsBytes
745 0558 43E0 ldi r20,lo8(3)
746 055a 6BE0 ldi r22,lo8(11)
747 055c 80E0 ldi r24,lo8(ExternControl)
748 055e 90E0 ldi r25,hi8(ExternControl)
749 0560 0E94 0000 call Decode64
750 0564 8091 0000 lds r24,RemoteTasten
751 0568 9091 0000 lds r25,ExternControl+2
752 056c 892B or r24,r25
753 056e 8093 0000 sts RemoteTasten,r24
754 0572 8091 0000 lds r24,ExternControl+9
755 0576 00C0 rjmp .L109
756 .L92:
757 0578 2091 0000 lds r18,AnzahlEmpfangsBytes
758 057c 43E0 ldi r20,lo8(3)
759 057e 6BE0 ldi r22,lo8(11)
760 0580 80E0 ldi r24,lo8(ExternControl)
761 0582 90E0 ldi r25,hi8(ExternControl)
762 0584 0E94 0000 call Decode64
763 0588 8091 0000 lds r24,RemoteTasten
764 058c 9091 0000 lds r25,ExternControl+2
765 0590 892B or r24,r25
766 0592 8093 0000 sts RemoteTasten,r24
767 0596 8091 0000 lds r24,ExternControl+9
768 059a 8093 0000 sts ConfirmFrame,r24
769 059e 81E0 ldi r24,lo8(1)
770 05a0 8093 0000 sts DebugDataAnforderung,r24
771 05a4 00C0 rjmp .L89
772 .L94:
773 05a6 2091 0000 lds r18,AnzahlEmpfangsBytes
774 05aa 43E0 ldi r20,lo8(3)
775 05ac 62E0 ldi r22,lo8(2)
776 05ae CE01 movw r24,r28
777 05b0 0196 adiw r24,1
778 05b2 0E94 0000 call Decode64
779 05b6 8091 0000 lds r24,RemoteTasten
780 05ba 9981 ldd r25,Y+1
781 05bc 892B or r24,r25
782 05be 8093 0000 sts RemoteTasten,r24
783 05c2 8A81 ldd r24,Y+2
784 05c4 8F3F cpi r24,lo8(-1)
785 05c6 01F4 brne .L101
786 05c8 81E0 ldi r24,lo8(1)
787 05ca 8093 0000 sts NurKanalAnforderung,r24
788 05ce 00C0 rjmp .L103
789 .L101:
790 05d0 1092 0000 sts NurKanalAnforderung,__zero_reg__
791 .L103:
792 05d4 81E0 ldi r24,lo8(1)
793 05d6 8093 0000 sts DebugDisplayAnforderung,r24
794 05da 00C0 rjmp .L89
795 .L98:
796 05dc 2091 0000 lds r18,AnzahlEmpfangsBytes
797 05e0 43E0 ldi r20,lo8(3)
798 05e2 64E0 ldi r22,lo8(4)
799 05e4 80E0 ldi r24,lo8(MotorTest)
800 05e6 90E0 ldi r25,hi8(MotorTest)
801 05e8 0E94 0000 call Decode64
802 05ec 00C0 rjmp .L89
803 .L95:
804 05ee 2091 0000 lds r18,AnzahlEmpfangsBytes
805 05f2 43E0 ldi r20,lo8(3)
806 05f4 64E0 ldi r22,lo8(4)
807 05f6 80E0 ldi r24,lo8(DubWiseKeys)
808 05f8 90E0 ldi r25,hi8(DubWiseKeys)
809 05fa 0E94 0000 call Decode64
810 05fe 8091 0000 lds r24,DubWiseKeys+3
811 .L109:
812 0602 8093 0000 sts ConfirmFrame,r24
813 0606 00C0 rjmp .L89
814 .L99:
815 0608 81E0 ldi r24,lo8(1)
816 060a 8093 0000 sts GetVersionAnforderung,r24
817 060e 00C0 rjmp .L89
818 .L93:
819 0610 81E0 ldi r24,lo8(1)
820 0612 8093 0000 sts DebugGetAnforderung,r24
821 0616 00C0 rjmp .L89
822 .L97:
823 0618 2091 0000 lds r18,AnzahlEmpfangsBytes
824 061c 43E0 ldi r20,lo8(3)
825 061e 62E0 ldi r22,lo8(2)
826 0620 CE01 movw r24,r28
827 0622 0196 adiw r24,1
828 0624 0E94 0000 call Decode64
829 0628 8981 ldd r24,Y+1
830 062a 8F3F cpi r24,lo8(-1)
831 062c 01F0 breq .L104
832 062e 8630 cpi r24,lo8(6)
833 0630 00F0 brlo .L106
834 0632 85E0 ldi r24,lo8(5)
835 0634 8983 std Y+1,r24
836 .L106:
837 0636 46E4 ldi r20,lo8(70)
838 0638 60E0 ldi r22,lo8(EE_Parameter)
839 063a 70E0 ldi r23,hi8(EE_Parameter)
840 063c 8981 ldd r24,Y+1
841 063e 0E94 0000 call ReadParameterSet
842 0642 8981 ldd r24,Y+1
843 0644 26E4 ldi r18,lo8(70)
844 0646 40E0 ldi r20,lo8(EE_Parameter)
845 0648 50E0 ldi r21,hi8(EE_Parameter)
846 064a 6091 0000 lds r22,MeineSlaveAdresse
847 064e 00C0 rjmp .L110
848 .L104:
849 0650 1091 0000 lds r17,MeineSlaveAdresse
850 0654 0E94 0000 call GetActiveParamSetNumber
851 0658 26E4 ldi r18,lo8(70)
852 065a 40E0 ldi r20,lo8(EE_Parameter)
853 065c 50E0 ldi r21,hi8(EE_Parameter)
854 065e 612F mov r22,r17
855 .L110:
856 0660 855B subi r24,lo8(-(75))
857 0662 0E94 0000 call SendOutData
858 0666 00C0 rjmp .L89
859 .L96:
860 0668 2091 0000 lds r18,AnzahlEmpfangsBytes
861 066c 43E0 ldi r20,lo8(3)
862 066e 66E4 ldi r22,lo8(70)
863 0670 80E0 ldi r24,lo8(EE_Parameter)
864 0672 90E0 ldi r25,hi8(EE_Parameter)
865 0674 0E94 0000 call Decode64
866 0678 8091 0000 lds r24,RxdBuffer+2
867 067c 46E4 ldi r20,lo8(70)
868 067e 60E0 ldi r22,lo8(EE_Parameter)
869 0680 70E0 ldi r23,hi8(EE_Parameter)
870 0682 8B56 subi r24,lo8(-(-107))
871 0684 0E94 0000 call WriteParameterSet
872 0688 8091 0000 lds r24,RxdBuffer+2
873 068c 8B56 subi r24,lo8(-(-107))
874 068e A0E0 ldi r26,lo8(EEPromArray+2)
875 0690 B0E0 ldi r27,hi8(EEPromArray+2)
876 /* #APP */
877 0692 082E mov __tmp_reg__,r24
878 0694 0E94 0000 call __eeprom_write_byte_1F2021
879 /* #NOAPP */
880 0698 6091 0000 lds r22,EE_Parameter+43
881 069c 7727 clr r23
882 069e 8827 clr r24
883 06a0 9927 clr r25
884 06a2 24EC ldi r18,lo8(2500)
885 06a4 39E0 ldi r19,hi8(2500)
886 06a6 40E0 ldi r20,hlo8(2500)
887 06a8 50E0 ldi r21,hhi8(2500)
888 06aa 0E94 0000 call __mulsi3
889 06ae 6093 0000 sts Umschlag180Nick,r22
890 06b2 7093 0000 sts (Umschlag180Nick)+1,r23
891 06b6 8093 0000 sts (Umschlag180Nick)+2,r24
892 06ba 9093 0000 sts (Umschlag180Nick)+3,r25
893 06be 6091 0000 lds r22,EE_Parameter+44
894 06c2 7727 clr r23
895 06c4 8827 clr r24
896 06c6 9927 clr r25
897 06c8 0E94 0000 call __mulsi3
898 06cc 6093 0000 sts Umschlag180Roll,r22
899 06d0 7093 0000 sts (Umschlag180Roll)+1,r23
900 06d4 8093 0000 sts (Umschlag180Roll)+2,r24
901 06d8 9093 0000 sts (Umschlag180Roll)+3,r25
902 06dc 0E94 0000 call GetActiveParamSetNumber
903 06e0 0E94 0000 call Piep
904 .L89:
905 06e4 1092 0000 sts NeuerDatensatzEmpfangen,__zero_reg__
906 .L108:
907 /* epilogue: frame size=2 */
908 06e8 E3E0 ldi r30,3
909 06ea CE5F subi r28,-2
910 06ec 0C94 0000 jmp __epilogue_restores__+30
911 /* epilogue end (size=4) */
912 /* function BearbeiteRxDaten size 271 (261) */
914 .global DebugGetAnforderung
915 .global DebugGetAnforderung
916 .section .bss
919 DebugGetAnforderung:
920 0000 00 .skip 1,0
921 .global DebugDisplayAnforderung
922 .global DebugDisplayAnforderung
925 DebugDisplayAnforderung:
926 0001 00 .skip 1,0
927 .global DebugDataAnforderung
928 .global DebugDataAnforderung
931 DebugDataAnforderung:
932 0002 00 .skip 1,0
933 .global GetVersionAnforderung
934 .global GetVersionAnforderung
937 GetVersionAnforderung:
938 0003 00 .skip 1,0
939 .global NeuerDatensatzEmpfangen
940 .global NeuerDatensatzEmpfangen
943 NeuerDatensatzEmpfangen:
944 0004 00 .skip 1,0
945 .global NeueKoordinateEmpfangen
946 .global NeueKoordinateEmpfangen
949 NeueKoordinateEmpfangen:
950 0005 00 .skip 1,0
951 .global UebertragungAbgeschlossen
952 .data
955 UebertragungAbgeschlossen:
956 0000 01 .byte 1
957 .global CntCrcError
958 .global CntCrcError
959 .section .bss
962 CntCrcError:
963 0006 00 .skip 1,0
964 .global AnzahlEmpfangsBytes
965 .global AnzahlEmpfangsBytes
968 AnzahlEmpfangsBytes:
969 0007 00 .skip 1,0
970 .global PC_DebugTimeout
971 .global PC_DebugTimeout
974 PC_DebugTimeout:
975 0008 00 .skip 1,0
976 .global RemotePollDisplayLine
977 .global RemotePollDisplayLine
980 RemotePollDisplayLine:
981 0009 00 .skip 1,0
982 .global NurKanalAnforderung
983 .global NurKanalAnforderung
986 NurKanalAnforderung:
987 000a 00 .skip 1,0
988 .global DebugTextAnforderung
989 .data
992 DebugTextAnforderung:
993 0001 FF .byte -1
994 .global PcZugriff
997 PcZugriff:
998 0002 64 .byte 100
999 .global MotorTest
1000 .global MotorTest
1001 .section .bss
1004 MotorTest:
1005 000b 0000 0000 .skip 4,0
1006 .global DubWiseKeys
1007 .global DubWiseKeys
1010 DubWiseKeys:
1011 000f 0000 0000 .skip 4,0
1012 .global ANALOG_TEXT
1013 .data
1016 ANALOG_TEXT:
1017 0003 496E 7465 .ascii "IntegralNick "
1017 6772 616C
1017 4E69 636B
1017 2020 2020
1018 0013 496E 7465 .ascii "IntegralRoll "
1018 6772 616C
1018 526F 6C6C
1018 2020 2020
1019 0023 4163 634E .ascii "AccNick "
1019 6963 6B20
1019 2020 2020
1019 2020 2020
1020 0033 4163 6352 .ascii "AccRoll "
1020 6F6C 6C20
1020 2020 2020
1020 2020 2020
1021 0043 4779 726F .ascii "GyroGier "
1021 4769 6572
1021 2020 2020
1021 2020 2020
1022 0053 486F 6568 .ascii "HoehenWert "
1022 656E 5765
1022 7274 2020
1022 2020 2020
1023 0063 4163 635A .ascii "AccZ "
1023 2020 2020
1023 2020 2020
1023 2020 2020
1024 0073 4761 7320 .ascii "Gas "
1024 2020 2020
1024 2020 2020
1024 2020 2020
1025 0083 4B6F 6D70 .ascii "KompassValue "
1025 6173 7356
1025 616C 7565
1025 2020 2020
1026 0093 5370 616E .ascii "Spannung "
1026 6E75 6E67
1026 2020 2020
1026 2020 2020
1027 00a3 456D 7066 .ascii "Empfang "
1027 616E 6720
1027 2020 2020
1027 2020 2020
1028 00b3 416E 616C .ascii "Analog11 "
1028 6F67 3131
1028 2020 2020
1028 2020 2020
1029 00c3 4D6F 746F .ascii "Motor_Vorne "
1029 725F 566F
1029 726E 6520
1029 2020 2020
1030 00d3 4D6F 746F .ascii "Motor_Hinten "
1030 725F 4869
1030 6E74 656E
1030 2020 2020
1031 00e3 4D6F 746F .ascii "Motor_Links "
1031 725F 4C69
1031 6E6B 7320
1031 2020 2020
1032 00f3 4D6F 746F .ascii "Motor_Rechts "
1032 725F 5265
1032 6368 7473
1032 2020 2020
1033 0103 4163 635F .ascii "Acc_Z "
1033 5A20 2020
1033 2020 2020
1033 2020 2020
1034 0113 4D69 7474 .ascii "MittelAccNick "
1034 656C 4163
1034 634E 6963
1034 6B20 2020
1035 0123 4D69 7474 .ascii "MittelAccRoll "
1035 656C 4163
1035 6352 6F6C
1035 6C20 2020
1036 0133 496E 7465 .ascii "IntegralErrNick "
1036 6772 616C
1036 4572 724E
1036 6963 6B20
1037 0143 496E 7465 .ascii "IntegralErrRoll "
1037 6772 616C
1037 4572 7252
1037 6F6C 6C20
1038 0153 4D69 7474 .ascii "MittelIntNick "
1038 656C 496E
1038 744E 6963
1038 6B20 2020
1039 0163 4D69 7474 .string "MittelIntRoll\t "
1039 656C 496E
1039 7452 6F6C
1039 6C09 2000
1040 0173 4E65 7574 .ascii "NeutralNick "
1040 7261 6C4E
1040 6963 6B20
1040 2020 2020
1041 0183 526F 6C6C .ascii "RollOffset "
1041 4F66 6673
1041 6574 2020
1041 2020 2020
1042 0193 496E 7452 .ascii "IntRoll*Faktor "
1042 6F6C 6C2A
1042 4661 6B74
1042 6F72 2020
1043 01a3 416E 616C .ascii "Analog26 "
1043 6F67 3236
1043 2020 2020
1043 2020 2020
1044 01b3 4469 7265 .ascii "DirektAusglRoll "
1044 6B74 4175
1044 7367 6C52
1044 6F6C 6C20
1045 01c3 4D65 7373 .ascii "MesswertRoll "
1045 7765 7274
1045 526F 6C6C
1045 2020 2020
1046 01d3 4175 7367 .ascii "AusgleichRoll "
1046 6C65 6963
1046 6852 6F6C
1046 6C20 2020
1047 01e3 492D 4C61 .ascii "I-LageRoll "
1047 6765 526F
1047 6C6C 2020
1047 2020 2020
1048 01f3 5374 6963 .ascii "StickRoll "
1048 6B52 6F6C
1048 6C20 2020
1048 2020 2020
1049 .lcomm UartState.2058,1
1050 .lcomm buf_ptr.2057,1
1051 .lcomm crc2.2056,1
1052 .lcomm crc1.2055,1
1053 .lcomm crc.2054,2
1054 .lcomm ptr.2041,2
1055 .comm SendeBuffer,150,1
1056 .comm RxdBuffer,150,1
1057 .comm MeineSlaveAdresse,1,1
1058 .comm Debug_Timer,2,1
1059 .comm DebugOut,66,1
1060 .comm ExternControl,11,1
1061 .comm VersionInfo,10,1
1062 .comm NMEABuffer,150,1
1063 .comm ConfirmFrame,1,1
1064 /* File "uart.c": code 919 = 0x0397 ( 839), prologues 38, epilogues 42 */
DEFINED SYMBOLS
*ABS*:00000000 uart.c
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:3 *ABS*:0000003f __SREG__
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:4 *ABS*:0000003e __SP_H__
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:5 *ABS*:0000003d __SP_L__
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:6 *ABS*:00000000 __tmp_reg__
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:7 *ABS*:00000001 __zero_reg__
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:13 .text:00000000 __vector_22
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:955 .data:00000000 UebertragungAbgeschlossen
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1053 .bss:00000019 ptr.2041
*COM*:00000096 SendeBuffer
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:69 .text:0000006c __vector_20
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1049 .bss:00000014 buf_ptr.2057
.bss:00000013 UartState.2058
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:943 .bss:00000004 NeuerDatensatzEmpfangen
*COM*:00000096 RxdBuffer
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1052 .bss:00000017 crc.2054
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1051 .bss:00000016 crc1.2055
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1050 .bss:00000015 crc2.2056
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:968 .bss:00000007 AnzahlEmpfangsBytes
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:962 .bss:00000006 CntCrcError
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:207 .text:00000190 AddCRC
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:258 .text:000001e6 SendOutData
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:366 .text:000002aa Decode64
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:466 .text:0000035a uart_putchar
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:490 .text:0000037c WriteProgramData
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:500 .text:0000037e UART_Init
*COM*:00000002 Debug_Timer
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:532 .text:000003b4 DatenUebertragung
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:919 .bss:00000000 DebugGetAnforderung
*COM*:0000000b ExternControl
*COM*:00000001 MeineSlaveAdresse
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:931 .bss:00000002 DebugDataAnforderung
*COM*:00000042 DebugOut
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:992 .data:00000001 DebugTextAnforderung
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1016 .data:00000003 ANALOG_TEXT
*COM*:00000001 ConfirmFrame
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:925 .bss:00000001 DebugDisplayAnforderung
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:980 .bss:00000009 RemotePollDisplayLine
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:986 .bss:0000000a NurKanalAnforderung
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:937 .bss:00000003 GetVersionAnforderung
*COM*:0000000a VersionInfo
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:674 .text:00000500 BearbeiteRxDaten
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:997 .data:00000002 PcZugriff
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1004 .bss:0000000b MotorTest
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:1010 .bss:0000000f DubWiseKeys
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:949 .bss:00000005 NeueKoordinateEmpfangen
C:\DOKUME~1\PIMMEL~1\LOKALE~1\Temp/ccvgt8J9.s:974 .bss:00000008 PC_DebugTimeout
*COM*:00000096 NMEABuffer
 
UNDEFINED SYMBOLS
__do_copy_data
__do_clear_bss
SetDelay
CheckDelay
Menu
PPM_in
DisplayBuff
__prologue_saves__
__tablejump2__
RemoteTasten
EE_Parameter
ReadParameterSet
GetActiveParamSetNumber
WriteParameterSet
EEPromArray
__eeprom_write_byte_1F2021
__mulsi3
Umschlag180Nick
Umschlag180Roll
Piep
__epilogue_restores__