Subversion Repositories Projects

Rev

Details | Last modification | View Log | RSS feed

Rev Author Line No. Line
99 gunterl 1
   1               		.file	"uart.c"
2
   2               		.arch atmega644p
3
   3               	__SREG__ = 0x3f
4
   4               	__SP_H__ = 0x3e
5
   5               	__SP_L__ = 0x3d
6
   6               	__tmp_reg__ = 0
7
   7               	__zero_reg__ = 1
8
   8               		.global __do_copy_data
9
   9               		.global __do_clear_bss
10
  10               		.text
11
  11               	.global	__vector_30
12
  13               	__vector_30:
13
  14               	/* prologue: frame size=0 */
14
  15 0000 1F92      		push __zero_reg__
15
  16 0002 0F92      		push __tmp_reg__
16
  17 0004 0FB6      		in __tmp_reg__,__SREG__
17
  18 0006 0F92      		push __tmp_reg__
18
  19 0008 1124      		clr __zero_reg__
19
  20 000a 8F93      		push r24
20
  21 000c 9F93      		push r25
21
  22 000e EF93      		push r30
22
  23 0010 FF93      		push r31
23
  24               	/* prologue end (size=9) */
24
  25 0012 8091 0000 		lds r24,UebertragungAbgeschlossen1
25
  26 0016 8823      		tst r24
26
  27 0018 01F4      		brne .L2
27
  28 001a 8091 0000 		lds r24,ptr1.2060
28
  29 001e 9091 0000 		lds r25,(ptr1.2060)+1
29
  30 0022 0196      		adiw r24,1
30
  31 0024 9093 0000 		sts (ptr1.2060)+1,r25
31
  32 0028 8093 0000 		sts ptr1.2060,r24
32
  33 002c FC01      		movw r30,r24
33
  34 002e E050      		subi r30,lo8(-(SendeBuffer1))
34
  35 0030 F040      		sbci r31,hi8(-(SendeBuffer1))
35
  36 0032 E081      		ld r30,Z
36
  37 0034 ED30      		cpi r30,lo8(13)
37
  38 0036 01F0      		breq .L4
38
  39 0038 8639      		cpi r24,150
39
  40 003a 9105      		cpc r25,__zero_reg__
40
  41 003c 01F4      		brne .L6
41
  42               	.L4:
42
  43 003e 1092 0000 		sts (ptr1.2060)+1,__zero_reg__
43
  44 0042 1092 0000 		sts ptr1.2060,__zero_reg__
44
  45 0046 81E0      		ldi r24,lo8(1)
45
  46 0048 8093 0000 		sts UebertragungAbgeschlossen1,r24
46
  47               	.L6:
47
  48 004c E093 CE00 		sts 206,r30
48
  49 0050 00C0      		rjmp .L8
49
  50               	.L2:
50
  51 0052 1092 0000 		sts (ptr1.2060)+1,__zero_reg__
51
  52 0056 1092 0000 		sts ptr1.2060,__zero_reg__
52
  53               	.L8:
53
  54               	/* epilogue: frame size=0 */
54
  55 005a FF91      		pop r31
55
  56 005c EF91      		pop r30
56
  57 005e 9F91      		pop r25
57
  58 0060 8F91      		pop r24
58
  59 0062 0F90      		pop __tmp_reg__
59
  60 0064 0FBE      		out __SREG__,__tmp_reg__
60
  61 0066 0F90      		pop __tmp_reg__
61
  62 0068 1F90      		pop __zero_reg__
62
  63 006a 1895      		reti
63
  64               	/* epilogue end (size=9) */
64
  65               	/* function __vector_30 size 54 (36) */
65
  67               	.global	__vector_28
66
  69               	__vector_28:
67
  70               	/* prologue: frame size=0 */
68
  71 006c 1F92      		push __zero_reg__
69
  72 006e 0F92      		push __tmp_reg__
70
  73 0070 0FB6      		in __tmp_reg__,__SREG__
71
  74 0072 0F92      		push __tmp_reg__
72
  75 0074 1124      		clr __zero_reg__
73
  76 0076 2F93      		push r18
74
  77 0078 8F93      		push r24
75
  78 007a 9F93      		push r25
76
  79 007c EF93      		push r30
77
  80 007e FF93      		push r31
78
  81               	/* prologue end (size=10) */
79
  82 0080 8091 CE00 		lds r24,206
80
  83 0084 8093 0000 		sts SioTmp1,r24
81
  84 0088 9091 0000 		lds r25,buf_ptr1.2073
82
  85 008c 9639      		cpi r25,lo8(-106)
83
  86 008e 00F0      		brlo .L10
84
  87 0090 1092 0000 		sts UartState1.2074,__zero_reg__
85
  88               	.L10:
86
  89 0094 2091 0000 		lds r18,SioTmp1
87
  90 0098 2D30      		cpi r18,lo8(13)
88
  91 009a 01F4      		brne .L12
89
  92 009c 8091 0000 		lds r24,UartState1.2074
90
  93 00a0 8230      		cpi r24,lo8(2)
91
  94 00a2 01F4      		brne .L12
92
  95 00a4 1092 0000 		sts UartState1.2074,__zero_reg__
93
  96 00a8 81E0      		ldi r24,lo8(1)
94
  97 00aa 8093 0000 		sts NeuerDatensatzEmpfangen1,r24
95
  98 00ae 9093 0000 		sts AnzahlEmpfangsBytes1,r25
96
  99 00b2 E92F      		mov r30,r25
97
 100 00b4 FF27      		clr r31
98
 101 00b6 E050      		subi r30,lo8(-(RxdBuffer1))
99
 102 00b8 F040      		sbci r31,hi8(-(RxdBuffer1))
100
 103 00ba 2083      		st Z,r18
101
 104 00bc 00C0      		rjmp .L24
102
 105               	.L12:
103
 106 00be 8091 0000 		lds r24,UartState1.2074
104
 107 00c2 8130      		cpi r24,lo8(1)
105
 108 00c4 01F0      		breq .L18
106
 109 00c6 8130      		cpi r24,lo8(1)
107
 110 00c8 00F0      		brlo .L17
108
 111 00ca 8230      		cpi r24,lo8(2)
109
 112 00cc 01F4      		brne .L25
110
 113 00ce 00C0      		rjmp .L19
111
 114               	.L17:
112
 115 00d0 8091 0000 		lds r24,SioTmp1
113
 116 00d4 8332      		cpi r24,lo8(35)
114
 117 00d6 01F4      		brne .L24
115
 118 00d8 8091 0000 		lds r24,NeuerDatensatzEmpfangen1
116
 119 00dc 8823      		tst r24
117
 120 00de 01F4      		brne .L24
118
 121 00e0 91E0      		ldi r25,lo8(1)
119
 122 00e2 9093 0000 		sts UartState1.2074,r25
120
 123 00e6 8091 0000 		lds r24,SioTmp1
121
 124 00ea 8093 0000 		sts RxdBuffer1,r24
122
 125 00ee 00C0      		rjmp .L26
123
 126               	.L18:
124
 127 00f0 82E0      		ldi r24,lo8(2)
125
 128 00f2 8093 0000 		sts UartState1.2074,r24
126
 129 00f6 E92F      		mov r30,r25
127
 130 00f8 FF27      		clr r31
128
 131 00fa 8091 0000 		lds r24,SioTmp1
129
 132 00fe E050      		subi r30,lo8(-(RxdBuffer1))
130
 133 0100 F040      		sbci r31,hi8(-(RxdBuffer1))
131
 134 0102 8083      		st Z,r24
132
 135               	.L27:
133
 136 0104 9F5F      		subi r25,lo8(-(1))
134
 137               	.L26:
135
 138 0106 9093 0000 		sts buf_ptr1.2073,r25
136
 139 010a 00C0      		rjmp .L24
137
 140               	.L19:
138
 141 010c E92F      		mov r30,r25
139
 142 010e FF27      		clr r31
140
 143 0110 8091 0000 		lds r24,SioTmp1
141
 144 0114 E050      		subi r30,lo8(-(RxdBuffer1))
142
 145 0116 F040      		sbci r31,hi8(-(RxdBuffer1))
143
 146 0118 8083      		st Z,r24
144
 147 011a 9639      		cpi r25,lo8(-106)
145
 148 011c 00F0      		brlo .L27
146
 149               	.L25:
147
 150 011e 1092 0000 		sts UartState1.2074,__zero_reg__
148
 151               	.L24:
149
 152               	/* epilogue: frame size=0 */
150
 153 0122 FF91      		pop r31
151
 154 0124 EF91      		pop r30
152
 155 0126 9F91      		pop r25
153
 156 0128 8F91      		pop r24
154
 157 012a 2F91      		pop r18
155
 158 012c 0F90      		pop __tmp_reg__
156
 159 012e 0FBE      		out __SREG__,__tmp_reg__
157
 160 0130 0F90      		pop __tmp_reg__
158
 161 0132 1F90      		pop __zero_reg__
159
 162 0134 1895      		reti
160
 163               	/* epilogue end (size=10) */
161
 164               	/* function __vector_28 size 101 (81) */
162
 166               	.global	__vector_22
163
 168               	__vector_22:
164
 169               	/* prologue: frame size=0 */
165
 170 0136 1F92      		push __zero_reg__
166
 171 0138 0F92      		push __tmp_reg__
167
 172 013a 0FB6      		in __tmp_reg__,__SREG__
168
 173 013c 0F92      		push __tmp_reg__
169
 174 013e 1124      		clr __zero_reg__
170
 175 0140 8F93      		push r24
171
 176 0142 9F93      		push r25
172
 177 0144 EF93      		push r30
173
 178 0146 FF93      		push r31
174
 179               	/* prologue end (size=9) */
175
 180 0148 8091 0000 		lds r24,UebertragungAbgeschlossen
176
 181 014c 8823      		tst r24
177
 182 014e 01F4      		brne .L29
178
 183 0150 8091 0000 		lds r24,ptr.2100
179
 184 0154 9091 0000 		lds r25,(ptr.2100)+1
180
 185 0158 0196      		adiw r24,1
181
 186 015a 9093 0000 		sts (ptr.2100)+1,r25
182
 187 015e 8093 0000 		sts ptr.2100,r24
183
 188 0162 FC01      		movw r30,r24
184
 189 0164 E050      		subi r30,lo8(-(SendeBuffer))
185
 190 0166 F040      		sbci r31,hi8(-(SendeBuffer))
186
 191 0168 E081      		ld r30,Z
187
 192 016a ED30      		cpi r30,lo8(13)
188
 193 016c 01F0      		breq .L31
189
 194 016e 8639      		cpi r24,150
190
 195 0170 9105      		cpc r25,__zero_reg__
191
 196 0172 01F4      		brne .L33
192
 197               	.L31:
193
 198 0174 1092 0000 		sts (ptr.2100)+1,__zero_reg__
194
 199 0178 1092 0000 		sts ptr.2100,__zero_reg__
195
 200 017c 81E0      		ldi r24,lo8(1)
196
 201 017e 8093 0000 		sts UebertragungAbgeschlossen,r24
197
 202               	.L33:
198
 203 0182 E093 C600 		sts 198,r30
199
 204 0186 00C0      		rjmp .L35
200
 205               	.L29:
201
 206 0188 1092 0000 		sts (ptr.2100)+1,__zero_reg__
202
 207 018c 1092 0000 		sts ptr.2100,__zero_reg__
203
 208               	.L35:
204
 209               	/* epilogue: frame size=0 */
205
 210 0190 FF91      		pop r31
206
 211 0192 EF91      		pop r30
207
 212 0194 9F91      		pop r25
208
 213 0196 8F91      		pop r24
209
 214 0198 0F90      		pop __tmp_reg__
210
 215 019a 0FBE      		out __SREG__,__tmp_reg__
211
 216 019c 0F90      		pop __tmp_reg__
212
 217 019e 1F90      		pop __zero_reg__
213
 218 01a0 1895      		reti
214
 219               	/* epilogue end (size=9) */
215
 220               	/* function __vector_22 size 54 (36) */
216
 222               	.global	__vector_20
217
 224               	__vector_20:
218
 225               	/* prologue: frame size=0 */
219
 226 01a2 1F92      		push __zero_reg__
220
 227 01a4 0F92      		push __tmp_reg__
221
 228 01a6 0FB6      		in __tmp_reg__,__SREG__
222
 229 01a8 0F92      		push __tmp_reg__
223
 230 01aa 1124      		clr __zero_reg__
224
 231 01ac 2F93      		push r18
225
 232 01ae 3F93      		push r19
226
 233 01b0 4F93      		push r20
227
 234 01b2 8F93      		push r24
228
 235 01b4 9F93      		push r25
229
 236 01b6 AF93      		push r26
230
 237 01b8 BF93      		push r27
231
 238 01ba CF93      		push r28
232
 239 01bc DF93      		push r29
233
 240 01be EF93      		push r30
234
 241 01c0 FF93      		push r31
235
 242               	/* prologue end (size=16) */
236
 243 01c2 8091 C600 		lds r24,198
237
 244 01c6 8093 0000 		sts SioTmp,r24
238
 245 01ca 4091 0000 		lds r20,buf_ptr.2116
239
 246 01ce 4639      		cpi r20,lo8(-106)
240
 247 01d0 00F0      		brlo .L37
241
 248 01d2 1092 0000 		sts UartState.2117,__zero_reg__
242
 249               	.L37:
243
 250 01d6 8091 0000 		lds r24,SioTmp
244
 251 01da 8D30      		cpi r24,lo8(13)
245
 252 01dc 01F0      		breq .+2
246
 253 01de 00C0      		rjmp .L39
247
 254 01e0 8091 0000 		lds r24,UartState.2117
248
 255 01e4 8230      		cpi r24,lo8(2)
249
 256 01e6 01F0      		breq .+2
250
 257 01e8 00C0      		rjmp .L39
251
 258 01ea 1092 0000 		sts UartState.2117,__zero_reg__
252
 259 01ee A42F      		mov r26,r20
253
 260 01f0 BB27      		clr r27
254
 261 01f2 FD01      		movw r30,r26
255
 262 01f4 E050      		subi r30,lo8(-(RxdBuffer-2))
256
 263 01f6 F040      		sbci r31,hi8(-(RxdBuffer-2))
257
 264 01f8 3081      		ld r19,Z
258
 265 01fa ED01      		movw r28,r26
259
 266 01fc C050      		subi r28,lo8(-(RxdBuffer-1))
260
 267 01fe D040      		sbci r29,hi8(-(RxdBuffer-1))
261
 268 0200 2881      		ld r18,Y
262
 269 0202 8091 0000 		lds r24,crc.2113
263
 270 0206 9091 0000 		lds r25,(crc.2113)+1
264
 271 020a 831B      		sub r24,r19
265
 272 020c 9109      		sbc r25,__zero_reg__
266
 273 020e 821B      		sub r24,r18
267
 274 0210 9109      		sbc r25,__zero_reg__
268
 275 0212 9F70      		andi r25,hi8(4095)
269
 276 0214 9093 0000 		sts (crc.2113)+1,r25
270
 277 0218 8093 0000 		sts crc.2113,r24
271
 278 021c 9C01      		movw r18,r24
272
 279 021e 96E0      		ldi r25,6
273
 280 0220 3695      	1:	lsr r19
274
 281 0222 2795      		ror r18
275
 282 0224 9A95      		dec r25
276
 283 0226 01F4      		brne 1b
277
 284 0228 235C      		subi r18,lo8(-(61))
278
 285 022a 2093 0000 		sts crc1.2114,r18
279
 286 022e 982F      		mov r25,r24
280
 287 0230 9F73      		andi r25,lo8(63)
281
 288 0232 935C      		subi r25,lo8(-(61))
282
 289 0234 9093 0000 		sts crc2.2115,r25
283
 290 0238 8081      		ld r24,Z
284
 291 023a 2817      		cp r18,r24
285
 292 023c 01F4      		brne .L42
286
 293 023e 8881      		ld r24,Y
287
 294 0240 9817      		cp r25,r24
288
 295 0242 01F4      		brne .L42
289
 296 0244 91E0      		ldi r25,lo8(1)
290
 297 0246 00C0      		rjmp .L45
291
 298               	.L42:
292
 299 0248 8091 0000 		lds r24,CntCrcError
293
 300 024c 8F5F      		subi r24,lo8(-(1))
294
 301 024e 8093 0000 		sts CntCrcError,r24
295
 302 0252 90E0      		ldi r25,lo8(0)
296
 303               	.L45:
297
 304 0254 8091 0000 		lds r24,NeuerDatensatzEmpfangen
298
 305 0258 8823      		tst r24
299
 306 025a 01F0      		breq .+2
300
 307 025c 00C0      		rjmp .L59
301
 308 025e 9923      		tst r25
302
 309 0260 01F4      		brne .+2
303
 310 0262 00C0      		rjmp .L59
304
 311 0264 81E0      		ldi r24,lo8(1)
305
 312 0266 8093 0000 		sts NeuerDatensatzEmpfangen,r24
306
 313 026a 4093 0000 		sts AnzahlEmpfangsBytes,r20
307
 314 026e A050      		subi r26,lo8(-(RxdBuffer))
308
 315 0270 B040      		sbci r27,hi8(-(RxdBuffer))
309
 316 0272 8DE0      		ldi r24,lo8(13)
310
 317 0274 8C93      		st X,r24
311
 318 0276 8091 0000 		lds r24,RxdBuffer+2
312
 319 027a 8235      		cpi r24,lo8(82)
313
 320 027c 01F0      		breq .+2
314
 321 027e 00C0      		rjmp .L59
315
 322 0280 88E1      		ldi r24,lo8(24)
316
 323 0282 90E0      		ldi r25,hi8(24)
317
 324 0284 2CE0      		ldi r18,lo8(12)
318
 325               	/* #APP */
319
 326 0286 0FB6      		in __tmp_reg__,__SREG__
320
 327 0288 F894      		cli
321
 328 028a A895      		wdr
322
 329 028c 8093 6000 		sts 96,r24
323
 330 0290 0FBE      		out __SREG__,__tmp_reg__
324
 331 0292 2093 6000 		sts 96,r18
325
 332               	/* #NOAPP */
326
 333 0296 00C0      		rjmp .L59
327
 334               	.L39:
328
 335 0298 8091 0000 		lds r24,UartState.2117
329
 336 029c 8130      		cpi r24,lo8(1)
330
 337 029e 01F0      		breq .L52
331
 338 02a0 8130      		cpi r24,lo8(1)
332
 339 02a2 00F0      		brlo .L51
333
 340 02a4 8230      		cpi r24,lo8(2)
334
 341 02a6 01F4      		brne .L60
335
 342 02a8 00C0      		rjmp .L53
336
 343               	.L51:
337
 344 02aa 8091 0000 		lds r24,SioTmp
338
 345 02ae 8332      		cpi r24,lo8(35)
339
 346 02b0 01F4      		brne .L59
340
 347 02b2 8091 0000 		lds r24,NeuerDatensatzEmpfangen
341
 348 02b6 8823      		tst r24
342
 349 02b8 01F4      		brne .L59
343
 350 02ba 91E0      		ldi r25,lo8(1)
344
 351 02bc 9093 0000 		sts UartState.2117,r25
345
 352 02c0 8091 0000 		lds r24,SioTmp
346
 353 02c4 8093 0000 		sts RxdBuffer,r24
347
 354 02c8 9093 0000 		sts buf_ptr.2116,r25
348
 355 02cc 8091 0000 		lds r24,SioTmp
349
 356 02d0 9927      		clr r25
350
 357 02d2 00C0      		rjmp .L61
351
 358               	.L52:
352
 359 02d4 82E0      		ldi r24,lo8(2)
353
 360 02d6 8093 0000 		sts UartState.2117,r24
354
 361 02da E42F      		mov r30,r20
355
 362 02dc FF27      		clr r31
356
 363 02de 8091 0000 		lds r24,SioTmp
357
 364 02e2 E050      		subi r30,lo8(-(RxdBuffer))
358
 365 02e4 F040      		sbci r31,hi8(-(RxdBuffer))
359
 366 02e6 8083      		st Z,r24
360
 367               	.L63:
361
 368 02e8 4F5F      		subi r20,lo8(-(1))
362
 369 02ea 4093 0000 		sts buf_ptr.2116,r20
363
 370               	.L62:
364
 371 02ee 2091 0000 		lds r18,SioTmp
365
 372 02f2 8091 0000 		lds r24,crc.2113
366
 373 02f6 9091 0000 		lds r25,(crc.2113)+1
367
 374 02fa 820F      		add r24,r18
368
 375 02fc 911D      		adc r25,__zero_reg__
369
 376               	.L61:
370
 377 02fe 9093 0000 		sts (crc.2113)+1,r25
371
 378 0302 8093 0000 		sts crc.2113,r24
372
 379 0306 00C0      		rjmp .L59
373
 380               	.L53:
374
 381 0308 E42F      		mov r30,r20
375
 382 030a FF27      		clr r31
376
 383 030c 8091 0000 		lds r24,SioTmp
377
 384 0310 E050      		subi r30,lo8(-(RxdBuffer))
378
 385 0312 F040      		sbci r31,hi8(-(RxdBuffer))
379
 386 0314 8083      		st Z,r24
380
 387 0316 4639      		cpi r20,lo8(-106)
381
 388 0318 00F0      		brlo .L63
382
 389 031a 1092 0000 		sts UartState.2117,__zero_reg__
383
 390 031e 00C0      		rjmp .L62
384
 391               	.L60:
385
 392 0320 1092 0000 		sts UartState.2117,__zero_reg__
386
 393               	.L59:
387
 394               	/* epilogue: frame size=0 */
388
 395 0324 FF91      		pop r31
389
 396 0326 EF91      		pop r30
390
 397 0328 DF91      		pop r29
391
 398 032a CF91      		pop r28
392
 399 032c BF91      		pop r27
393
 400 032e AF91      		pop r26
394
 401 0330 9F91      		pop r25
395
 402 0332 8F91      		pop r24
396
 403 0334 4F91      		pop r20
397
 404 0336 3F91      		pop r19
398
 405 0338 2F91      		pop r18
399
 406 033a 0F90      		pop __tmp_reg__
400
 407 033c 0FBE      		out __SREG__,__tmp_reg__
401
 408 033e 0F90      		pop __tmp_reg__
402
 409 0340 1F90      		pop __zero_reg__
403
 410 0342 1895      		reti
404
 411               	/* epilogue end (size=16) */
405
 412               	/* function __vector_20 size 214 (182) */
406
 414               	.global	AddCRC
407
 416               	AddCRC:
408
 417               	/* prologue: frame size=0 */
409
 418               	/* prologue end (size=0) */
410
 419 0344 DC01      		movw r26,r24
411
 420 0346 20E0      		ldi r18,lo8(0)
412
 421 0348 30E0      		ldi r19,hi8(0)
413
 422 034a 40E0      		ldi r20,lo8(0)
414
 423 034c 50E0      		ldi r21,hi8(0)
415
 424 034e E0E0      		ldi r30,lo8(SendeBuffer)
416
 425 0350 F0E0      		ldi r31,hi8(SendeBuffer)
417
 426 0352 00C0      		rjmp .L65
418
 427               	.L66:
419
 428 0354 8191      		ld r24,Z+
420
 429 0356 280F      		add r18,r24
421
 430 0358 311D      		adc r19,__zero_reg__
422
 431 035a 4F5F      		subi r20,lo8(-(1))
423
 432 035c 5F4F      		sbci r21,hi8(-(1))
424
 433               	.L65:
425
 434 035e 4A17      		cp r20,r26
426
 435 0360 5B07      		cpc r21,r27
427
 436 0362 01F4      		brne .L66
428
 437 0364 3F70      		andi r19,hi8(4095)
429
 438 0366 C901      		movw r24,r18
430
 439 0368 36E0      		ldi r19,6
431
 440 036a 9695      	1:	lsr r25
432
 441 036c 8795      		ror r24
433
 442 036e 3A95      		dec r19
434
 443 0370 01F4      		brne 1b
435
 444 0372 835C      		subi r24,lo8(-(61))
436
 445 0374 FD01      		movw r30,r26
437
 446 0376 E050      		subi r30,lo8(-(SendeBuffer))
438
 447 0378 F040      		sbci r31,hi8(-(SendeBuffer))
439
 448 037a 8083      		st Z,r24
440
 449 037c 1196      		adiw r26,1
441
 450 037e 2F73      		andi r18,lo8(63)
442
 451 0380 235C      		subi r18,lo8(-(61))
443
 452 0382 FD01      		movw r30,r26
444
 453 0384 E050      		subi r30,lo8(-(SendeBuffer))
445
 454 0386 F040      		sbci r31,hi8(-(SendeBuffer))
446
 455 0388 2083      		st Z,r18
447
 456 038a A050      		subi r26,lo8(-(SendeBuffer+1))
448
 457 038c B040      		sbci r27,hi8(-(SendeBuffer+1))
449
 458 038e 8DE0      		ldi r24,lo8(13)
450
 459 0390 8C93      		st X,r24
451
 460 0392 1092 0000 		sts UebertragungAbgeschlossen,__zero_reg__
452
 461 0396 8091 0000 		lds r24,SendeBuffer
453
 462 039a 8093 C600 		sts 198,r24
454
 463               	/* epilogue: frame size=0 */
455
 464 039e 0895      		ret
456
 465               	/* epilogue end (size=1) */
457
 466               	/* function AddCRC size 47 (46) */
458
 468               	.global	SendOutRXTunnelData
459
 470               	SendOutRXTunnelData:
460
 471               	/* prologue: frame size=0 */
461
 472               	/* prologue end (size=0) */
462
 473 03a0 262F      		mov r18,r22
463
 474 03a2 E0E0      		ldi r30,lo8(SendeBuffer1)
464
 475 03a4 F0E0      		ldi r31,hi8(SendeBuffer1)
465
 476 03a6 DC01      		movw r26,r24
466
 477 03a8 00C0      		rjmp .L70
467
 478               	.L71:
468
 479 03aa 8D91      		ld r24,X+
469
 480 03ac 8193      		st Z+,r24
470
 481 03ae 2150      		subi r18,lo8(-(-1))
471
 482               	.L70:
472
 483 03b0 2223      		tst r18
473
 484 03b2 01F4      		brne .L71
474
 485 03b4 E62F      		mov r30,r22
475
 486 03b6 FF27      		clr r31
476
 487 03b8 E050      		subi r30,lo8(-(SendeBuffer1))
477
 488 03ba F040      		sbci r31,hi8(-(SendeBuffer1))
478
 489 03bc 8DE0      		ldi r24,lo8(13)
479
 490 03be 8083      		st Z,r24
480
 491 03c0 1092 0000 		sts UebertragungAbgeschlossen1,__zero_reg__
481
 492 03c4 8091 0000 		lds r24,SendeBuffer1
482
 493 03c8 8093 CE00 		sts 206,r24
483
 494               	/* epilogue: frame size=0 */
484
 495 03cc 0895      		ret
485
 496               	/* epilogue end (size=1) */
486
 497               	/* function SendOutRXTunnelData size 23 (22) */
487
 499               	.global	SendOutTXTunnelData
488
 501               	SendOutTXTunnelData:
489
 502               	/* prologue: frame size=0 */
490
 503               	/* prologue end (size=0) */
491
 504 03ce 262F      		mov r18,r22
492
 505 03d0 E0E0      		ldi r30,lo8(SendeBuffer)
493
 506 03d2 F0E0      		ldi r31,hi8(SendeBuffer)
494
 507 03d4 DC01      		movw r26,r24
495
 508 03d6 00C0      		rjmp .L75
496
 509               	.L76:
497
 510 03d8 8D91      		ld r24,X+
498
 511 03da 8193      		st Z+,r24
499
 512 03dc 2150      		subi r18,lo8(-(-1))
500
 513               	.L75:
501
 514 03de 2223      		tst r18
502
 515 03e0 01F4      		brne .L76
503
 516 03e2 E62F      		mov r30,r22
504
 517 03e4 FF27      		clr r31
505
 518 03e6 E050      		subi r30,lo8(-(SendeBuffer))
506
 519 03e8 F040      		sbci r31,hi8(-(SendeBuffer))
507
 520 03ea 8DE0      		ldi r24,lo8(13)
508
 521 03ec 8083      		st Z,r24
509
 522 03ee 1092 0000 		sts UebertragungAbgeschlossen,__zero_reg__
510
 523 03f2 1092 0000 		sts NeuerDatensatzEmpfangen1,__zero_reg__
511
 524 03f6 8091 0000 		lds r24,SendeBuffer
512
 525 03fa 8093 C600 		sts 198,r24
513
 526               	/* epilogue: frame size=0 */
514
 527 03fe 0895      		ret
515
 528               	/* epilogue end (size=1) */
516
 529               	/* function SendOutTXTunnelData size 25 (24) */
517
 531               	.global	SendOutData
518
 533               	SendOutData:
519
 534               	/* prologue: frame size=0 */
520
 535 0400 EF92      		push r14
521
 536 0402 FF92      		push r15
522
 537 0404 0F93      		push r16
523
 538 0406 1F93      		push r17
524
 539 0408 CF93      		push r28
525
 540 040a DF93      		push r29
526
 541               	/* prologue end (size=6) */
527
 542 040c 7A01      		movw r14,r20
528
 543 040e 722F      		mov r23,r18
529
 544 0410 93E2      		ldi r25,lo8(35)
530
 545 0412 9093 0000 		sts SendeBuffer,r25
531
 546 0416 6093 0000 		sts SendeBuffer+1,r22
532
 547 041a 8093 0000 		sts SendeBuffer+2,r24
533
 548 041e 03E0      		ldi r16,lo8(3)
534
 549 0420 10E0      		ldi r17,hi8(3)
535
 550 0422 60E0      		ldi r22,lo8(0)
536
 551 0424 A0E0      		ldi r26,lo8(SendeBuffer+3)
537
 552 0426 B0E0      		ldi r27,hi8(SendeBuffer+3)
538
 553 0428 00C0      		rjmp .L80
539
 554               	.L81:
540
 555 042a F701      		movw r30,r14
541
 556 042c E60F      		add r30,r22
542
 557 042e F11D      		adc r31,__zero_reg__
543
 558 0430 9081      		ld r25,Z
544
 559 0432 6F5F      		subi r22,lo8(-(1))
545
 560 0434 7150      		subi r23,lo8(-(-1))
546
 561 0436 01F4      		brne .L82
547
 562 0438 E0E0      		ldi r30,lo8(0)
548
 563 043a 40E0      		ldi r20,lo8(0)
549
 564 043c 00C0      		rjmp .L84
550
 565               	.L82:
551
 566 043e F701      		movw r30,r14
552
 567 0440 E60F      		add r30,r22
553
 568 0442 F11D      		adc r31,__zero_reg__
554
 569 0444 4081      		ld r20,Z
555
 570 0446 6F5F      		subi r22,lo8(-(1))
556
 571 0448 7150      		subi r23,lo8(-(-1))
557
 572 044a 01F4      		brne .L85
558
 573 044c E0E0      		ldi r30,lo8(0)
559
 574 044e 00C0      		rjmp .L84
560
 575               	.L85:
561
 576 0450 F701      		movw r30,r14
562
 577 0452 E60F      		add r30,r22
563
 578 0454 F11D      		adc r31,__zero_reg__
564
 579 0456 E081      		ld r30,Z
565
 580 0458 6F5F      		subi r22,lo8(-(1))
566
 581 045a 7150      		subi r23,lo8(-(-1))
567
 582               	.L84:
568
 583 045c 892F      		mov r24,r25
569
 584 045e 8695      		lsr r24
570
 585 0460 8695      		lsr r24
571
 586 0462 835C      		subi r24,lo8(-(61))
572
 587 0464 8C93      		st X,r24
573
 588 0466 5527      		clr r21
574
 589 0468 892F      		mov r24,r25
575
 590 046a 9927      		clr r25
576
 591 046c 8370      		andi r24,lo8(3)
577
 592 046e 9070      		andi r25,hi8(3)
578
 593 0470 24E0      		ldi r18,4
579
 594 0472 880F      	1:	lsl r24
580
 595 0474 991F      		rol r25
581
 596 0476 2A95      		dec r18
582
 597 0478 01F4      		brne 1b
583
 598 047a 9A01      		movw r18,r20
584
 599 047c 94E0      		ldi r25,4
585
 600 047e 3695      	1:	lsr r19
586
 601 0480 2795      		ror r18
587
 602 0482 9A95      		dec r25
588
 603 0484 01F4      		brne 1b
589
 604 0486 822B      		or r24,r18
590
 605 0488 835C      		subi r24,lo8(-(61))
591
 606 048a ED01      		movw r28,r26
592
 607 048c 8983      		std Y+1,r24
593
 608 048e 4F70      		andi r20,lo8(15)
594
 609 0490 5070      		andi r21,hi8(15)
595
 610 0492 440F      		lsl r20
596
 611 0494 551F      		rol r21
597
 612 0496 440F      		lsl r20
598
 613 0498 551F      		rol r21
599
 614 049a 8E2F      		mov r24,r30
600
 615 049c 8295      		swap r24
601
 616 049e 8695      		lsr r24
602
 617 04a0 8695      		lsr r24
603
 618 04a2 8370      		andi r24,0x3
604
 619 04a4 842B      		or r24,r20
605
 620 04a6 835C      		subi r24,lo8(-(61))
606
 621 04a8 8A83      		std Y+2,r24
607
 622 04aa EF73      		andi r30,lo8(63)
608
 623 04ac E35C      		subi r30,lo8(-(61))
609
 624 04ae EB83      		std Y+3,r30
610
 625 04b0 0C5F      		subi r16,lo8(-(4))
611
 626 04b2 1F4F      		sbci r17,hi8(-(4))
612
 627 04b4 1496      		adiw r26,4
613
 628               	.L80:
614
 629 04b6 7723      		tst r23
615
 630 04b8 01F0      		breq .+2
616
 631 04ba 00C0      		rjmp .L81
617
 632 04bc C801      		movw r24,r16
618
 633 04be 0E94 0000 		call AddCRC
619
 634               	/* epilogue: frame size=0 */
620
 635 04c2 DF91      		pop r29
621
 636 04c4 CF91      		pop r28
622
 637 04c6 1F91      		pop r17
623
 638 04c8 0F91      		pop r16
624
 639 04ca FF90      		pop r15
625
 640 04cc EF90      		pop r14
626
 641 04ce 0895      		ret
627
 642               	/* epilogue end (size=7) */
628
 643               	/* function SendOutData size 106 (93) */
629
 645               	.global	Decode64
630
 647               	Decode64:
631
 648               	/* prologue: frame size=0 */
632
 649 04d0 1F93      		push r17
633
 650 04d2 CF93      		push r28
634
 651 04d4 DF93      		push r29
635
 652               	/* prologue end (size=3) */
636
 653 04d6 EC01      		movw r28,r24
637
 654 04d8 70E0      		ldi r23,lo8(0)
638
 655 04da A22F      		mov r26,r18
639
 656 04dc BB27      		clr r27
640
 657 04de 1297      		sbiw r26,2
641
 658 04e0 00C0      		rjmp .L90
642
 659               	.L91:
643
 660 04e2 E42F      		mov r30,r20
644
 661 04e4 FF27      		clr r31
645
 662 04e6 E050      		subi r30,lo8(-(RxdBuffer))
646
 663 04e8 F040      		sbci r31,hi8(-(RxdBuffer))
647
 664 04ea 3081      		ld r19,Z
648
 665 04ec 4F5F      		subi r20,lo8(-(1))
649
 666 04ee E42F      		mov r30,r20
650
 667 04f0 FF27      		clr r31
651
 668 04f2 E050      		subi r30,lo8(-(RxdBuffer))
652
 669 04f4 F040      		sbci r31,hi8(-(RxdBuffer))
653
 670 04f6 5081      		ld r21,Z
654
 671 04f8 4F5F      		subi r20,lo8(-(1))
655
 672 04fa E42F      		mov r30,r20
656
 673 04fc FF27      		clr r31
657
 674 04fe E050      		subi r30,lo8(-(RxdBuffer))
658
 675 0500 F040      		sbci r31,hi8(-(RxdBuffer))
659
 676 0502 1081      		ld r17,Z
660
 677 0504 4F5F      		subi r20,lo8(-(1))
661
 678 0506 E42F      		mov r30,r20
662
 679 0508 FF27      		clr r31
663
 680 050a E050      		subi r30,lo8(-(RxdBuffer))
664
 681 050c F040      		sbci r31,hi8(-(RxdBuffer))
665
 682 050e 2081      		ld r18,Z
666
 683 0510 4F5F      		subi r20,lo8(-(1))
667
 684 0512 842F      		mov r24,r20
668
 685 0514 9927      		clr r25
669
 686 0516 A817      		cp r26,r24
670
 687 0518 B907      		cpc r27,r25
671
 688 051a 04F0      		brlt .L96
672
 689 051c 5D53      		subi r21,lo8(-(-61))
673
 690 051e FE01      		movw r30,r28
674
 691 0520 E70F      		add r30,r23
675
 692 0522 F11D      		adc r31,__zero_reg__
676
 693 0524 3D53      		subi r19,lo8(-(-61))
677
 694 0526 330F      		lsl r19
678
 695 0528 330F      		lsl r19
679
 696 052a 852F      		mov r24,r21
680
 697 052c 8295      		swap r24
681
 698 052e 8F70      		andi r24,0x0f
682
 699 0530 382B      		or r19,r24
683
 700 0532 3083      		st Z,r19
684
 701 0534 6130      		cpi r22,lo8(1)
685
 702 0536 01F0      		breq .L96
686
 703 0538 912F      		mov r25,r17
687
 704 053a 9D53      		subi r25,lo8(-(-61))
688
 705 053c 7F5F      		subi r23,lo8(-(1))
689
 706 053e FE01      		movw r30,r28
690
 707 0540 E70F      		add r30,r23
691
 708 0542 F11D      		adc r31,__zero_reg__
692
 709 0544 7150      		subi r23,lo8(-(-1))
693
 710 0546 5295      		swap r21
694
 711 0548 507F      		andi r21,0xf0
695
 712 054a 892F      		mov r24,r25
696
 713 054c 8695      		lsr r24
697
 714 054e 8695      		lsr r24
698
 715 0550 582B      		or r21,r24
699
 716 0552 5083      		st Z,r21
700
 717 0554 6350      		subi r22,lo8(-(-3))
701
 718 0556 6F3F      		cpi r22,lo8(-1)
702
 719 0558 01F0      		breq .L96
703
 720 055a 7E5F      		subi r23,lo8(-(2))
704
 721 055c FE01      		movw r30,r28
705
 722 055e E70F      		add r30,r23
706
 723 0560 F11D      		adc r31,__zero_reg__
707
 724 0562 9295      		swap r25
708
 725 0564 990F      		lsl r25
709
 726 0566 990F      		lsl r25
710
 727 0568 907C      		andi r25,0xc0
711
 728 056a 2D53      		subi r18,lo8(-(-61))
712
 729 056c 922B      		or r25,r18
713
 730 056e 9083      		st Z,r25
714
 731 0570 7F5F      		subi r23,lo8(-(1))
715
 732               	.L90:
716
 733 0572 6623      		tst r22
717
 734 0574 01F0      		breq .+2
718
 735 0576 00C0      		rjmp .L91
719
 736               	.L96:
720
 737               	/* epilogue: frame size=0 */
721
 738 0578 DF91      		pop r29
722
 739 057a CF91      		pop r28
723
 740 057c 1F91      		pop r17
724
 741 057e 0895      		ret
725
 742               	/* epilogue end (size=4) */
726
 743               	/* function Decode64 size 88 (81) */
727
 745               	.global	uart_putchar
728
 747               	uart_putchar:
729
 748               	/* prologue: frame size=0 */
730
 749 0580 1F93      		push r17
731
 750               	/* prologue end (size=1) */
732
 751 0582 182F      		mov r17,r24
733
 752 0584 8A30      		cpi r24,lo8(10)
734
 753 0586 01F4      		brne .L102
735
 754 0588 8DE0      		ldi r24,lo8(13)
736
 755 058a 0E94 0000 		call uart_putchar
737
 756               	.L102:
738
 757 058e 8091 C000 		lds r24,192
739
 758 0592 85FF      		sbrs r24,5
740
 759 0594 00C0      		rjmp .L102
741
 760 0596 1093 C600 		sts 198,r17
742
 761 059a 80E0      		ldi r24,lo8(0)
743
 762 059c 90E0      		ldi r25,hi8(0)
744
 763               	/* epilogue: frame size=0 */
745
 764 059e 1F91      		pop r17
746
 765 05a0 0895      		ret
747
 766               	/* epilogue end (size=2) */
748
 767               	/* function uart_putchar size 17 (14) */
749
 769               	.global	WriteProgramData
750
 771               	WriteProgramData:
751
 772               	/* prologue: frame size=0 */
752
 773               	/* prologue end (size=0) */
753
 774               	/* epilogue: frame size=0 */
754
 775 05a2 0895      		ret
755
 776               	/* epilogue end (size=1) */
756
 777               	/* function WriteProgramData size 1 (0) */
757
 779               	.global	UART_Init
758
 781               	UART_Init:
759
 782               	/* prologue: frame size=0 */
760
 783               	/* prologue end (size=0) */
761
 784 05a4 E1EC      		ldi r30,lo8(193)
762
 785 05a6 F0E0      		ldi r31,hi8(193)
763
 786 05a8 98E1      		ldi r25,lo8(24)
764
 787 05aa 9083      		st Z,r25
765
 788 05ac A0EC      		ldi r26,lo8(192)
766
 789 05ae B0E0      		ldi r27,hi8(192)
767
 790 05b0 8C91      		ld r24,X
768
 791 05b2 8260      		ori r24,lo8(2)
769
 792 05b4 8C93      		st X,r24
770
 793 05b6 8081      		ld r24,Z
771
 794 05b8 8068      		ori r24,lo8(-128)
772
 795 05ba 8083      		st Z,r24
773
 796 05bc 8081      		ld r24,Z
774
 797 05be 8064      		ori r24,lo8(64)
775
 798 05c0 8083      		st Z,r24
776
 799 05c2 8AE2      		ldi r24,lo8(42)
777
 800 05c4 8093 C400 		sts 196,r24
778
 801 05c8 E9EC      		ldi r30,lo8(201)
779
 802 05ca F0E0      		ldi r31,hi8(201)
780
 803 05cc 9083      		st Z,r25
781
 804 05ce A8EC      		ldi r26,lo8(200)
782
 805 05d0 B0E0      		ldi r27,hi8(200)
783
 806 05d2 8C91      		ld r24,X
784
 807 05d4 8260      		ori r24,lo8(2)
785
 808 05d6 8C93      		st X,r24
786
 809 05d8 8081      		ld r24,Z
787
 810 05da 8068      		ori r24,lo8(-128)
788
 811 05dc 8083      		st Z,r24
789
 812 05de 8081      		ld r24,Z
790
 813 05e0 8064      		ori r24,lo8(64)
791
 814 05e2 8083      		st Z,r24
792
 815 05e4 8AE2      		ldi r24,lo8(42)
793
 816 05e6 90E0      		ldi r25,hi8(42)
794
 817 05e8 9093 CD00 		sts (204)+1,r25
795
 818 05ec 8093 CC00 		sts 204,r24
796
 819 05f0 88EC      		ldi r24,lo8(200)
797
 820 05f2 90E0      		ldi r25,hi8(200)
798
 821 05f4 0E94 0000 		call SetDelay
799
 822 05f8 9093 0000 		sts (Debug_Timer)+1,r25
800
 823 05fc 8093 0000 		sts Debug_Timer,r24
801
 824               	/* epilogue: frame size=0 */
802
 825 0600 0895      		ret
803
 826               	/* epilogue end (size=1) */
804
 827               	/* function UART_Init size 47 (46) */
805
 829               	.global	DatenUebertragung
806
 831               	DatenUebertragung:
807
 832               	/* prologue: frame size=0 */
808
 833               	/* prologue end (size=0) */
809
 834 0602 8091 0000 		lds r24,UebertragungAbgeschlossen
810
 835 0606 8823      		tst r24
811
 836 0608 01F4      		brne .+2
812
 837 060a 00C0      		rjmp .L135
813
 838 060c 8091 0000 		lds r24,NeuerDatensatzEmpfangen1
814
 839 0610 8823      		tst r24
815
 840 0612 01F0      		breq .L112
816
 841 0614 8091 0000 		lds r24,UebertragungAbgeschlossen
817
 842 0618 8823      		tst r24
818
 843 061a 01F0      		breq .L112
819
 844 061c 6091 0000 		lds r22,AnzahlEmpfangsBytes1
820
 845 0620 80E0      		ldi r24,lo8(RxdBuffer1)
821
 846 0622 90E0      		ldi r25,hi8(RxdBuffer1)
822
 847 0624 0E94 0000 		call SendOutTXTunnelData
823
 848               	.L112:
824
 849 0628 8091 0000 		lds r24,ControlReceived
825
 850 062c 8823      		tst r24
826
 851 062e 01F0      		breq .L115
827
 852 0630 8091 0000 		lds r24,UebertragungAbgeschlossen
828
 853 0634 8823      		tst r24
829
 854 0636 01F0      		breq .L115
830
 855 0638 21E0      		ldi r18,lo8(1)
831
 856 063a 40E0      		ldi r20,lo8(ControlOut)
832
 857 063c 50E0      		ldi r21,hi8(ControlOut)
833
 858 063e 6091 0000 		lds r22,MeineSlaveAdresse
834
 859 0642 83E4      		ldi r24,lo8(67)
835
 860 0644 0E94 0000 		call SendOutData
836
 861 0648 1092 0000 		sts ControlReceived,__zero_reg__
837
 862               	.L115:
838
 863 064c 8091 0000 		lds r24,DebugGetAnforderung
839
 864 0650 8823      		tst r24
840
 865 0652 01F0      		breq .L118
841
 866 0654 8091 0000 		lds r24,UebertragungAbgeschlossen
842
 867 0658 8823      		tst r24
843
 868 065a 01F0      		breq .L118
844
 869 065c 2BE0      		ldi r18,lo8(11)
845
 870 065e 40E0      		ldi r20,lo8(DebugIn)
846
 871 0660 50E0      		ldi r21,hi8(DebugIn)
847
 872 0662 6091 0000 		lds r22,MeineSlaveAdresse
848
 873 0666 87E4      		ldi r24,lo8(71)
849
 874 0668 0E94 0000 		call SendOutData
850
 875 066c 1092 0000 		sts DebugGetAnforderung,__zero_reg__
851
 876               	.L118:
852
 877 0670 8091 0000 		lds r24,Debug_Timer
853
 878 0674 9091 0000 		lds r25,(Debug_Timer)+1
854
 879 0678 0E94 0000 		call CheckDelay
855
 880 067c 8823      		tst r24
856
 881 067e 01F4      		brne .L121
857
 882 0680 8091 0000 		lds r24,DebugDataAnforderung
858
 883 0684 8823      		tst r24
859
 884 0686 01F0      		breq .L123
860
 885               	.L121:
861
 886 0688 8091 0000 		lds r24,UebertragungAbgeschlossen
862
 887 068c 8823      		tst r24
863
 888 068e 01F0      		breq .L123
864
 889 0690 22E4      		ldi r18,lo8(66)
865
 890 0692 40E0      		ldi r20,lo8(DebugOut)
866
 891 0694 50E0      		ldi r21,hi8(DebugOut)
867
 892 0696 6091 0000 		lds r22,MeineSlaveAdresse
868
 893 069a 84E4      		ldi r24,lo8(68)
869
 894 069c 0E94 0000 		call SendOutData
870
 895 06a0 1092 0000 		sts DebugDataAnforderung,__zero_reg__
871
 896 06a4 84EF      		ldi r24,lo8(500)
872
 897 06a6 91E0      		ldi r25,hi8(500)
873
 898 06a8 0E94 0000 		call SetDelay
874
 899 06ac 9093 0000 		sts (Debug_Timer)+1,r25
875
 900 06b0 8093 0000 		sts Debug_Timer,r24
876
 901               	.L123:
877
 902 06b4 6091 0000 		lds r22,DebugTextAnforderung
878
 903 06b8 6F3F      		cpi r22,lo8(-1)
879
 904 06ba 01F0      		breq .L125
880
 905 06bc 462F      		mov r20,r22
881
 906 06be 5527      		clr r21
882
 907 06c0 34E0      		ldi r19,4
883
 908 06c2 440F      	1:	lsl r20
884
 909 06c4 551F      		rol r21
885
 910 06c6 3A95      		dec r19
886
 911 06c8 01F4      		brne 1b
887
 912 06ca 4050      		subi r20,lo8(-(ANALOG_TEXT))
888
 913 06cc 5040      		sbci r21,hi8(-(ANALOG_TEXT))
889
 914 06ce 605D      		subi r22,lo8(-(48))
890
 915 06d0 20E1      		ldi r18,lo8(16)
891
 916 06d2 81E4      		ldi r24,lo8(65)
892
 917 06d4 0E94 0000 		call SendOutData
893
 918 06d8 8FEF      		ldi r24,lo8(-1)
894
 919 06da 8093 0000 		sts DebugTextAnforderung,r24
895
 920               	.L125:
896
 921 06de 8091 0000 		lds r24,DebugDisplayAnforderung
897
 922 06e2 8823      		tst r24
898
 923 06e4 01F0      		breq .L127
899
 924 06e6 8091 0000 		lds r24,UebertragungAbgeschlossen
900
 925 06ea 8823      		tst r24
901
 926 06ec 01F0      		breq .L127
902
 927 06ee 0E94 0000 		call Menu
903
 928 06f2 1092 0000 		sts DebugDisplayAnforderung,__zero_reg__
904
 929 06f6 3091 0000 		lds r19,RemotePollDisplayLine
905
 930 06fa 932F      		mov r25,r19
906
 931 06fc 9F5F      		subi r25,lo8(-(1))
907
 932 06fe 9093 0000 		sts RemotePollDisplayLine,r25
908
 933 0702 9430      		cpi r25,lo8(4)
909
 934 0704 01F0      		breq .L130
910
 935 0706 8091 0000 		lds r24,NurKanalAnforderung
911
 936 070a 8823      		tst r24
912
 937 070c 01F0      		breq .L132
913
 938               	.L130:
914
 939 070e 26E1      		ldi r18,lo8(22)
915
 940 0710 40E0      		ldi r20,lo8(PPM_in)
916
 941 0712 50E0      		ldi r21,hi8(PPM_in)
917
 942 0714 60E0      		ldi r22,lo8(0)
918
 943 0716 84E3      		ldi r24,lo8(52)
919
 944 0718 0E94 0000 		call SendOutData
920
 945 071c 8FEF      		ldi r24,lo8(-1)
921
 946 071e 8093 0000 		sts RemotePollDisplayLine,r24
922
 947 0722 00C0      		rjmp .L127
923
 948               	.L132:
924
 949 0724 44E1      		ldi r20,lo8(20)
925
 950 0726 949F      		mul r25,r20
926
 951 0728 A001      		movw r20,r0
927
 952 072a 1124      		clr r1
928
 953 072c 4050      		subi r20,lo8(-(DisplayBuff))
929
 954 072e 5040      		sbci r21,hi8(-(DisplayBuff))
930
 955 0730 24E1      		ldi r18,lo8(20)
931
 956 0732 60E0      		ldi r22,lo8(0)
932
 957 0734 832F      		mov r24,r19
933
 958 0736 8F5C      		subi r24,lo8(-(49))
934
 959 0738 0E94 0000 		call SendOutData
935
 960               	.L127:
936
 961 073c 8091 0000 		lds r24,GetVersionAnforderung
937
 962 0740 8823      		tst r24
938
 963 0742 01F0      		breq .L135
939
 964 0744 8091 0000 		lds r24,UebertragungAbgeschlossen
940
 965 0748 8823      		tst r24
941
 966 074a 01F0      		breq .L135
942
 967 074c 2AE0      		ldi r18,lo8(10)
943
 968 074e 40E0      		ldi r20,lo8(VersionInfo)
944
 969 0750 50E0      		ldi r21,hi8(VersionInfo)
945
 970 0752 6091 0000 		lds r22,MeineSlaveAdresse
946
 971 0756 86E5      		ldi r24,lo8(86)
947
 972 0758 0E94 0000 		call SendOutData
948
 973 075c 1092 0000 		sts GetVersionAnforderung,__zero_reg__
949
 974               	.L135:
950
 975 0760 0895      		ret
951
 976               	/* epilogue: frame size=0 */
952
 977               	/* epilogue: noreturn */
953
 978               	/* epilogue end (size=0) */
954
 979               	/* function DatenUebertragung size 176 (176) */
955
 981               	.global	BearbeiteRxDaten
956
 983               	BearbeiteRxDaten:
957
 984               	/* prologue: frame size=2 */
958
 985 0762 1F93      		push r17
959
 986 0764 CF93      		push r28
960
 987 0766 DF93      		push r29
961
 988 0768 CDB7      		in r28,__SP_L__
962
 989 076a DEB7      		in r29,__SP_H__
963
 990 076c 2297      		sbiw r28,2
964
 991 076e 0FB6      		in __tmp_reg__,__SREG__
965
 992 0770 F894      		cli
966
 993 0772 DEBF      		out __SP_H__,r29
967
 994 0774 0FBE      		out __SREG__,__tmp_reg__
968
 995 0776 CDBF      		out __SP_L__,r28
969
 996               	/* prologue end (size=11) */
970
 997 0778 8091 0000 		lds r24,NeuerDatensatzEmpfangen
971
 998 077c 8823      		tst r24
972
 999 077e 01F4      		brne .+2
973
 1000 0780 00C0      		rjmp .L163
974
 1001 0782 8FEF      		ldi r24,lo8(-1)
975
 1002 0784 8093 0000 		sts PcZugriff,r24
976
 1003 0788 8091 0000 		lds r24,RxdBuffer+2
977
 1004 078c 8836      		cpi r24,lo8(104)
978
 1005 078e 01F4      		brne .+2
979
 1006 0790 00C0      		rjmp .L145
980
 1007 0792 8936      		cpi r24,lo8(105)
981
 1008 0794 00F4      		brsh .L151
982
 1009 0796 8136      		cpi r24,lo8(97)
983
 1010 0798 01F0      		breq .L142
984
 1011 079a 8236      		cpi r24,lo8(98)
985
 1012 079c 00F4      		brsh .L152
986
 1013 079e 8334      		cpi r24,lo8(67)
987
 1014 07a0 01F4      		brne .+2
988
 1015 07a2 00C0      		rjmp .L140
989
 1016 07a4 8835      		cpi r24,lo8(88)
990
 1017 07a6 01F0      		breq .+2
991
 1018 07a8 00C0      		rjmp .L139
992
 1019 07aa 00C0      		rjmp .L141
993
 1020               	.L152:
994
 1021 07ac 8336      		cpi r24,lo8(99)
995
 1022 07ae 01F0      		breq .L143
996
 1023 07b0 8736      		cpi r24,lo8(103)
997
 1024 07b2 01F0      		breq .+2
998
 1025 07b4 00C0      		rjmp .L139
999
 1026 07b6 00C0      		rjmp .L144
1000
 1027               	.L151:
1001
 1028 07b8 8137      		cpi r24,lo8(113)
1002
 1029 07ba 00F4      		brsh .L153
1003
 1030 07bc 8C36      		cpi r24,lo8(108)
1004
 1031 07be 00F0      		brlo .+2
1005
 1032 07c0 00C0      		rjmp .L147
1006
 1033 07c2 8B36      		cpi r24,lo8(107)
1007
 1034 07c4 01F0      		breq .+2
1008
 1035 07c6 00C0      		rjmp .L139
1009
 1036 07c8 00C0      		rjmp .L146
1010
 1037               	.L153:
1011
 1038 07ca 8437      		cpi r24,lo8(116)
1012
 1039 07cc 01F4      		brne .+2
1013
 1040 07ce 00C0      		rjmp .L149
1014
 1041 07d0 8637      		cpi r24,lo8(118)
1015
 1042 07d2 01F4      		brne .+2
1016
 1043 07d4 00C0      		rjmp .L150
1017
 1044 07d6 8137      		cpi r24,lo8(113)
1018
 1045 07d8 01F0      		breq .+2
1019
 1046 07da 00C0      		rjmp .L139
1020
 1047 07dc 00C0      		rjmp .L148
1021
 1048               	.L142:
1022
 1049 07de 2091 0000 		lds r18,AnzahlEmpfangsBytes
1023
 1050 07e2 43E0      		ldi r20,lo8(3)
1024
 1051 07e4 62E0      		ldi r22,lo8(2)
1025
 1052 07e6 CE01      		movw r24,r28
1026
 1053 07e8 0196      		adiw r24,1
1027
 1054 07ea 0E94 0000 		call Decode64
1028
 1055 07ee 8981      		ldd r24,Y+1
1029
 1056 07f0 8093 0000 		sts DebugTextAnforderung,r24
1030
 1057 07f4 00C0      		rjmp .L139
1031
 1058               	.L143:
1032
 1059 07f6 2091 0000 		lds r18,AnzahlEmpfangsBytes
1033
 1060 07fa 43E0      		ldi r20,lo8(3)
1034
 1061 07fc 6BE0      		ldi r22,lo8(11)
1035
 1062 07fe 80E0      		ldi r24,lo8(DebugIn)
1036
 1063 0800 90E0      		ldi r25,hi8(DebugIn)
1037
 1064 0802 0E94 0000 		call Decode64
1038
 1065 0806 8091 0000 		lds r24,RemoteTasten
1039
 1066 080a 9091 0000 		lds r25,DebugIn+2
1040
 1067 080e 892B      		or r24,r25
1041
 1068 0810 8093 0000 		sts RemoteTasten,r24
1042
 1069 0814 81E0      		ldi r24,lo8(1)
1043
 1070 0816 8093 0000 		sts DebugDataAnforderung,r24
1044
 1071 081a 00C0      		rjmp .L139
1045
 1072               	.L145:
1046
 1073 081c 2091 0000 		lds r18,AnzahlEmpfangsBytes
1047
 1074 0820 43E0      		ldi r20,lo8(3)
1048
 1075 0822 62E0      		ldi r22,lo8(2)
1049
 1076 0824 CE01      		movw r24,r28
1050
 1077 0826 0196      		adiw r24,1
1051
 1078 0828 0E94 0000 		call Decode64
1052
 1079 082c 8091 0000 		lds r24,RemoteTasten
1053
 1080 0830 9981      		ldd r25,Y+1
1054
 1081 0832 892B      		or r24,r25
1055
 1082 0834 8093 0000 		sts RemoteTasten,r24
1056
 1083 0838 8A81      		ldd r24,Y+2
1057
 1084 083a 8F3F      		cpi r24,lo8(-1)
1058
 1085 083c 01F4      		brne .L154
1059
 1086 083e 81E0      		ldi r24,lo8(1)
1060
 1087 0840 8093 0000 		sts NurKanalAnforderung,r24
1061
 1088 0844 00C0      		rjmp .L156
1062
 1089               	.L154:
1063
 1090 0846 1092 0000 		sts NurKanalAnforderung,__zero_reg__
1064
 1091               	.L156:
1065
 1092 084a 81E0      		ldi r24,lo8(1)
1066
 1093 084c 8093 0000 		sts DebugDisplayAnforderung,r24
1067
 1094 0850 00C0      		rjmp .L139
1068
 1095               	.L149:
1069
 1096 0852 2091 0000 		lds r18,AnzahlEmpfangsBytes
1070
 1097 0856 43E0      		ldi r20,lo8(3)
1071
 1098 0858 64E0      		ldi r22,lo8(4)
1072
 1099 085a 80E0      		ldi r24,lo8(MotorTest)
1073
 1100 085c 90E0      		ldi r25,hi8(MotorTest)
1074
 1101 085e 00C0      		rjmp .L164
1075
 1102               	.L146:
1076
 1103 0860 2091 0000 		lds r18,AnzahlEmpfangsBytes
1077
 1104 0864 43E0      		ldi r20,lo8(3)
1078
 1105 0866 63E0      		ldi r22,lo8(3)
1079
 1106 0868 80E0      		ldi r24,lo8(DubWiseKeys)
1080
 1107 086a 90E0      		ldi r25,hi8(DubWiseKeys)
1081
 1108               	.L164:
1082
 1109 086c 0E94 0000 		call Decode64
1083
 1110 0870 00C0      		rjmp .L139
1084
 1111               	.L150:
1085
 1112 0872 81E0      		ldi r24,lo8(1)
1086
 1113 0874 8093 0000 		sts GetVersionAnforderung,r24
1087
 1114 0878 00C0      		rjmp .L139
1088
 1115               	.L144:
1089
 1116 087a 81E0      		ldi r24,lo8(1)
1090
 1117 087c 8093 0000 		sts DebugGetAnforderung,r24
1091
 1118 0880 00C0      		rjmp .L139
1092
 1119               	.L148:
1093
 1120 0882 2091 0000 		lds r18,AnzahlEmpfangsBytes
1094
 1121 0886 43E0      		ldi r20,lo8(3)
1095
 1122 0888 62E0      		ldi r22,lo8(2)
1096
 1123 088a CE01      		movw r24,r28
1097
 1124 088c 0196      		adiw r24,1
1098
 1125 088e 0E94 0000 		call Decode64
1099
 1126 0892 8981      		ldd r24,Y+1
1100
 1127 0894 8F3F      		cpi r24,lo8(-1)
1101
 1128 0896 01F0      		breq .L157
1102
 1129 0898 8630      		cpi r24,lo8(6)
1103
 1130 089a 00F0      		brlo .L159
1104
 1131 089c 85E0      		ldi r24,lo8(5)
1105
 1132 089e 8983      		std Y+1,r24
1106
 1133               	.L159:
1107
 1134 08a0 47E4      		ldi r20,lo8(71)
1108
 1135 08a2 60E0      		ldi r22,lo8(EE_Parameter)
1109
 1136 08a4 70E0      		ldi r23,hi8(EE_Parameter)
1110
 1137 08a6 8981      		ldd r24,Y+1
1111
 1138 08a8 0E94 0000 		call ReadParameterSet
1112
 1139 08ac 8981      		ldd r24,Y+1
1113
 1140 08ae 27E4      		ldi r18,lo8(71)
1114
 1141 08b0 40E0      		ldi r20,lo8(EE_Parameter)
1115
 1142 08b2 50E0      		ldi r21,hi8(EE_Parameter)
1116
 1143 08b4 6091 0000 		lds r22,MeineSlaveAdresse
1117
 1144 08b8 00C0      		rjmp .L166
1118
 1145               	.L157:
1119
 1146 08ba 1091 0000 		lds r17,MeineSlaveAdresse
1120
 1147 08be 0E94 0000 		call GetActiveParamSetNumber
1121
 1148 08c2 27E4      		ldi r18,lo8(71)
1122
 1149 08c4 40E0      		ldi r20,lo8(EE_Parameter)
1123
 1150 08c6 50E0      		ldi r21,hi8(EE_Parameter)
1124
 1151 08c8 612F      		mov r22,r17
1125
 1152               	.L166:
1126
 1153 08ca 855B      		subi r24,lo8(-(75))
1127
 1154 08cc 0E94 0000 		call SendOutData
1128
 1155 08d0 00C0      		rjmp .L139
1129
 1156               	.L147:
1130
 1157 08d2 2091 0000 		lds r18,AnzahlEmpfangsBytes
1131
 1158 08d6 43E0      		ldi r20,lo8(3)
1132
 1159 08d8 67E4      		ldi r22,lo8(71)
1133
 1160 08da 80E0      		ldi r24,lo8(EE_Parameter)
1134
 1161 08dc 90E0      		ldi r25,hi8(EE_Parameter)
1135
 1162 08de 0E94 0000 		call Decode64
1136
 1163 08e2 8091 0000 		lds r24,RxdBuffer+2
1137
 1164 08e6 47E4      		ldi r20,lo8(71)
1138
 1165 08e8 60E0      		ldi r22,lo8(EE_Parameter)
1139
 1166 08ea 70E0      		ldi r23,hi8(EE_Parameter)
1140
 1167 08ec 8B56      		subi r24,lo8(-(-107))
1141
 1168 08ee 0E94 0000 		call WriteParameterSet
1142
 1169 08f2 8091 0000 		lds r24,RxdBuffer+2
1143
 1170 08f6 8B56      		subi r24,lo8(-(-107))
1144
 1171 08f8 A0E0      		ldi r26,lo8(EEPromArray+2)
1145
 1172 08fa B0E0      		ldi r27,hi8(EEPromArray+2)
1146
 1173               	/* #APP */
1147
 1174 08fc 082E      		mov __tmp_reg__,r24
1148
 1175 08fe 0E94 0000 		call __eeprom_write_byte_1F2021
1149
 1176               	/* #NOAPP */
1150
 1177 0902 6091 0000 		lds r22,EE_Parameter+43
1151
 1178 0906 7727      		clr r23
1152
 1179 0908 8827      		clr r24
1153
 1180 090a 9927      		clr r25
1154
 1181 090c 24EC      		ldi r18,lo8(2500)
1155
 1182 090e 39E0      		ldi r19,hi8(2500)
1156
 1183 0910 40E0      		ldi r20,hlo8(2500)
1157
 1184 0912 50E0      		ldi r21,hhi8(2500)
1158
 1185 0914 0E94 0000 		call __mulsi3
1159
 1186 0918 6093 0000 		sts Umschlag180Nick,r22
1160
 1187 091c 7093 0000 		sts (Umschlag180Nick)+1,r23
1161
 1188 0920 8093 0000 		sts (Umschlag180Nick)+2,r24
1162
 1189 0924 9093 0000 		sts (Umschlag180Nick)+3,r25
1163
 1190 0928 6091 0000 		lds r22,EE_Parameter+44
1164
 1191 092c 7727      		clr r23
1165
 1192 092e 8827      		clr r24
1166
 1193 0930 9927      		clr r25
1167
 1194 0932 0E94 0000 		call __mulsi3
1168
 1195 0936 6093 0000 		sts Umschlag180Roll,r22
1169
 1196 093a 7093 0000 		sts (Umschlag180Roll)+1,r23
1170
 1197 093e 8093 0000 		sts (Umschlag180Roll)+2,r24
1171
 1198 0942 9093 0000 		sts (Umschlag180Roll)+3,r25
1172
 1199 0946 0E94 0000 		call GetActiveParamSetNumber
1173
 1200 094a 0E94 0000 		call Piep
1174
 1201 094e 00C0      		rjmp .L139
1175
 1202               	.L141:
1176
 1203 0950 6091 0000 		lds r22,AnzahlEmpfangsBytes
1177
 1204 0954 80E0      		ldi r24,lo8(RxdBuffer)
1178
 1205 0956 90E0      		ldi r25,hi8(RxdBuffer)
1179
 1206 0958 0E94 0000 		call SendOutRXTunnelData
1180
 1207 095c 00C0      		rjmp .L139
1181
 1208               	.L140:
1182
 1209 095e 8091 0000 		lds r24,Parameter_UserParam2
1183
 1210 0962 8B30      		cpi r24,lo8(11)
1184
 1211 0964 00F0      		brlo .L161
1185
 1212 0966 2091 0000 		lds r18,AnzahlEmpfangsBytes
1186
 1213 096a 43E0      		ldi r20,lo8(3)
1187
 1214 096c 68E0      		ldi r22,lo8(8)
1188
 1215 096e 80E0      		ldi r24,lo8(ControlIn)
1189
 1216 0970 90E0      		ldi r25,hi8(ControlIn)
1190
 1217 0972 0E94 0000 		call Decode64
1191
 1218 0976 1092 0000 		sts ControlOut,__zero_reg__
1192
 1219 097a 81E0      		ldi r24,lo8(1)
1193
 1220 097c 00C0      		rjmp .L165
1194
 1221               	.L161:
1195
 1222 097e 1092 0000 		sts (ControlIn)+1,__zero_reg__
1196
 1223 0982 1092 0000 		sts ControlIn,__zero_reg__
1197
 1224 0986 1092 0000 		sts (ControlIn+2)+1,__zero_reg__
1198
 1225 098a 1092 0000 		sts ControlIn+2,__zero_reg__
1199
 1226 098e 1092 0000 		sts (ControlIn+4)+1,__zero_reg__
1200
 1227 0992 1092 0000 		sts ControlIn+4,__zero_reg__
1201
 1228 0996 1092 0000 		sts (ControlIn+6)+1,__zero_reg__
1202
 1229 099a 1092 0000 		sts ControlIn+6,__zero_reg__
1203
 1230 099e 81E0      		ldi r24,lo8(1)
1204
 1231 09a0 8093 0000 		sts ControlOut,r24
1205
 1232               	.L165:
1206
 1233 09a4 8093 0000 		sts ControlReceived,r24
1207
 1234               	.L139:
1208
 1235 09a8 1092 0000 		sts NeuerDatensatzEmpfangen,__zero_reg__
1209
 1236               	.L163:
1210
 1237               	/* epilogue: frame size=2 */
1211
 1238 09ac 2296      		adiw r28,2
1212
 1239 09ae 0FB6      		in __tmp_reg__,__SREG__
1213
 1240 09b0 F894      		cli
1214
 1241 09b2 DEBF      		out __SP_H__,r29
1215
 1242 09b4 0FBE      		out __SREG__,__tmp_reg__
1216
 1243 09b6 CDBF      		out __SP_L__,r28
1217
 1244 09b8 DF91      		pop r29
1218
 1245 09ba CF91      		pop r28
1219
 1246 09bc 1F91      		pop r17
1220
 1247 09be 0895      		ret
1221
 1248               	/* epilogue end (size=10) */
1222
 1249               	/* function BearbeiteRxDaten size 304 (283) */
1223
 1251               	.global	DebugGetAnforderung
1224
 1252               	.global	DebugGetAnforderung
1225
 1253               		.section .bss
1226
 1256               	DebugGetAnforderung:
1227
 1257 0000 00        		.skip 1,0
1228
 1258               	.global	DebugDisplayAnforderung
1229
 1259               	.global	DebugDisplayAnforderung
1230
 1262               	DebugDisplayAnforderung:
1231
 1263 0001 00        		.skip 1,0
1232
 1264               	.global	DebugDataAnforderung
1233
 1265               	.global	DebugDataAnforderung
1234
 1268               	DebugDataAnforderung:
1235
 1269 0002 00        		.skip 1,0
1236
 1270               	.global	GetVersionAnforderung
1237
 1271               	.global	GetVersionAnforderung
1238
 1274               	GetVersionAnforderung:
1239
 1275 0003 00        		.skip 1,0
1240
 1276               	.global	SioTmp
1241
 1277               	.global	SioTmp
1242
 1280               	SioTmp:
1243
 1281 0004 00        		.skip 1,0
1244
 1282               	.global	NeuerDatensatzEmpfangen
1245
 1283               	.global	NeuerDatensatzEmpfangen
1246
 1286               	NeuerDatensatzEmpfangen:
1247
 1287 0005 00        		.skip 1,0
1248
 1288               	.global	NeueKoordinateEmpfangen
1249
 1289               	.global	NeueKoordinateEmpfangen
1250
 1292               	NeueKoordinateEmpfangen:
1251
 1293 0006 00        		.skip 1,0
1252
 1294               	.global	UebertragungAbgeschlossen
1253
 1295               		.data
1254
 1298               	UebertragungAbgeschlossen:
1255
 1299 0000 01        		.byte	1
1256
 1300               	.global	CntCrcError
1257
 1301               	.global	CntCrcError
1258
 1302               		.section .bss
1259
 1305               	CntCrcError:
1260
 1306 0007 00        		.skip 1,0
1261
 1307               	.global	AnzahlEmpfangsBytes
1262
 1308               	.global	AnzahlEmpfangsBytes
1263
 1311               	AnzahlEmpfangsBytes:
1264
 1312 0008 00        		.skip 1,0
1265
 1313               	.global	PC_DebugTimeout
1266
 1314               	.global	PC_DebugTimeout
1267
 1317               	PC_DebugTimeout:
1268
 1318 0009 00        		.skip 1,0
1269
 1319               	.global	RemotePollDisplayLine
1270
 1320               	.global	RemotePollDisplayLine
1271
 1323               	RemotePollDisplayLine:
1272
 1324 000a 00        		.skip 1,0
1273
 1325               	.global	NurKanalAnforderung
1274
 1326               	.global	NurKanalAnforderung
1275
 1329               	NurKanalAnforderung:
1276
 1330 000b 00        		.skip 1,0
1277
 1331               	.global	DebugTextAnforderung
1278
 1332               		.data
1279
 1335               	DebugTextAnforderung:
1280
 1336 0001 FF        		.byte	-1
1281
 1337               	.global	PcZugriff
1282
 1340               	PcZugriff:
1283
 1341 0002 64        		.byte	100
1284
 1342               	.global	MotorTest
1285
 1343               	.global	MotorTest
1286
 1344               		.section .bss
1287
 1347               	MotorTest:
1288
 1348 000c 0000 0000 		.skip 4,0
1289
 1349               	.global	DubWiseKeys
1290
 1350               	.global	DubWiseKeys
1291
 1353               	DubWiseKeys:
1292
 1354 0010 0000 00   		.skip 3,0
1293
 1355               	.global	SioTmp1
1294
 1356               	.global	SioTmp1
1295
 1359               	SioTmp1:
1296
 1360 0013 00        		.skip 1,0
1297
 1361               	.global	NeuerDatensatzEmpfangen1
1298
 1362               	.global	NeuerDatensatzEmpfangen1
1299
 1365               	NeuerDatensatzEmpfangen1:
1300
 1366 0014 00        		.skip 1,0
1301
 1367               	.global	AnzahlEmpfangsBytes1
1302
 1368               	.global	AnzahlEmpfangsBytes1
1303
 1371               	AnzahlEmpfangsBytes1:
1304
 1372 0015 00        		.skip 1,0
1305
 1373               	.global	UebertragungAbgeschlossen1
1306
 1374               		.data
1307
 1377               	UebertragungAbgeschlossen1:
1308
 1378 0003 01        		.byte	1
1309
 1379               	.global	ControlReceived
1310
 1380               	.global	ControlReceived
1311
 1381               		.section .bss
1312
 1384               	ControlReceived:
1313
 1385 0016 00        		.skip 1,0
1314
 1386               	.global	ANALOG_TEXT
1315
 1387               		.data
1316
 1390               	ANALOG_TEXT:
1317
 1391 0004 496E 7465 		.ascii	"IntegralNick    "
1318
 1391      6772 616C
1319
 1391      4E69 636B
1320
 1391      2020 2020
1321
 1392 0014 496E 7465 		.ascii	"IntegralRoll    "
1322
 1392      6772 616C
1323
 1392      526F 6C6C
1324
 1392      2020 2020
1325
 1393 0024 4163 634E 		.ascii	"AccNick         "
1326
 1393      6963 6B20
1327
 1393      2020 2020
1328
 1393      2020 2020
1329
 1394 0034 4163 6352 		.ascii	"AccRoll         "
1330
 1394      6F6C 6C20
1331
 1394      2020 2020
1332
 1394      2020 2020
1333
 1395 0044 4779 726F 		.ascii	"GyroGier        "
1334
 1395      4769 6572
1335
 1395      2020 2020
1336
 1395      2020 2020
1337
 1396 0054 486F 6568 		.ascii	"HoehenWert      "
1338
 1396      656E 5765
1339
 1396      7274 2020
1340
 1396      2020 2020
1341
 1397 0064 4163 635A 		.ascii	"AccZ            "
1342
 1397      2020 2020
1343
 1397      2020 2020
1344
 1397      2020 2020
1345
 1398 0074 4761 7320 		.ascii	"Gas             "
1346
 1398      2020 2020
1347
 1398      2020 2020
1348
 1398      2020 2020
1349
 1399 0084 4B6F 6D70 		.ascii	"KompassValue    "
1350
 1399      6173 7356
1351
 1399      616C 7565
1352
 1399      2020 2020
1353
 1400 0094 5370 616E 		.ascii	"Spannung        "
1354
 1400      6E75 6E67
1355
 1400      2020 2020
1356
 1400      2020 2020
1357
 1401 00a4 456D 7066 		.ascii	"Empfang         "
1358
 1401      616E 6720
1359
 1401      2020 2020
1360
 1401      2020 2020
1361
 1402 00b4 3131 202D 		.ascii	"11 ---          "
1362
 1402      2D2D 2020
1363
 1402      2020 2020
1364
 1402      2020 2020
1365
 1403 00c4 4D6F 746F 		.ascii	"Motor_Vorne     "
1366
 1403      725F 566F
1367
 1403      726E 6520
1368
 1403      2020 2020
1369
 1404 00d4 4D6F 746F 		.ascii	"Motor_Hinten    "
1370
 1404      725F 4869
1371
 1404      6E74 656E
1372
 1404      2020 2020
1373
 1405 00e4 4D6F 746F 		.ascii	"Motor_Links     "
1374
 1405      725F 4C69
1375
 1405      6E6B 7320
1376
 1405      2020 2020
1377
 1406 00f4 4D6F 746F 		.ascii	"Motor_Rechts    "
1378
 1406      725F 5265
1379
 1406      6368 7473
1380
 1406      2020 2020
1381
 1407 0104 3136 5F41 		.ascii	"16_Acc_Z        "
1382
 1407      6363 5F5A
1383
 1407      2020 2020
1384
 1407      2020 2020
1385
 1408 0114 3137 4D69 		.ascii	"17MittelAccNick "
1386
 1408      7474 656C
1387
 1408      4163 634E
1388
 1408      6963 6B20
1389
 1409 0124 3138 5F4D 		.ascii	"18_MittelAccRoll"
1390
 1409      6974 7465
1391
 1409      6C41 6363
1392
 1409      526F 6C6C
1393
 1410 0134 416E 616C 		.ascii	"Analog19        "
1394
 1410      6F67 3139
1395
 1410      2020 2020
1396
 1410      2020 2020
1397
 1411 0144 496E 7465 		.ascii	"IntegralErrRoll "
1398
 1411      6772 616C
1399
 1411      4572 7252
1400
 1411      6F6C 6C20
1401
 1412 0154 416E 616C 		.ascii	"Analog21        "
1402
 1412      6F67 3231
1403
 1412      2020 2020
1404
 1412      2020 2020
1405
 1413 0164 3232 5F4D 		.ascii	"22_MittelGIngR  "
1406
 1413      6974 7465
1407
 1413      6C47 496E
1408
 1413      6752 2020
1409
 1414 0174 416E 616C 		.ascii	"Analog23        "
1410
 1414      6F67 3233
1411
 1414      2020 2020
1412
 1414      2020 2020
1413
 1415 0184 3234 526F 		.ascii	"24RollOffset    "
1414
 1415      6C6C 4F66
1415
 1415      6673 6574
1416
 1415      2020 2020
1417
 1416 0194 3235 496E 		.ascii	"25IntRoll*Fakt  "
1418
 1416      7452 6F6C
1419
 1416      6C2A 4661
1420
 1416      6B74 2020
1421
 1417 01a4 416E 616C 		.ascii	"Analog26        "
1422
 1417      6F67 3236
1423
 1417      2020 2020
1424
 1417      2020 2020
1425
 1418 01b4 4469 7265 		.ascii	"DirektAusglRoll "
1426
 1418      6B74 4175
1427
 1418      7367 6C52
1428
 1418      6F6C 6C20
1429
 1419 01c4 3238 4D65 		.ascii	"28MesswertRoll  "
1430
 1419      7373 7765
1431
 1419      7274 526F
1432
 1419      6C6C 2020
1433
 1420 01d4 3239 4175 		.ascii	"29AusgleichRoll "
1434
 1420      7367 6C65
1435
 1420      6963 6852
1436
 1420      6F6C 6C20
1437
 1421 01e4 3330 5F49 		.ascii	"30_I-LageRoll   "
1438
 1421      2D4C 6167
1439
 1421      6552 6F6C
1440
 1421      6C20 2020
1441
 1422 01f4 3331 5F53 		.ascii	"31_StickRoll    "
1442
 1422      7469 636B
1443
 1422      526F 6C6C
1444
 1422      2020 2020
1445
 1423               		.lcomm UartState.2117,1
1446
 1424               		.lcomm buf_ptr.2116,1
1447
 1425               		.lcomm crc2.2115,1
1448
 1426               		.lcomm crc1.2114,1
1449
 1427               		.lcomm crc.2113,2
1450
 1428               		.lcomm ptr.2100,2
1451
 1429               		.lcomm UartState1.2074,1
1452
 1430               		.lcomm buf_ptr1.2073,1
1453
 1431               		.lcomm ptr1.2060,2
1454
 1432               		.comm SendeBuffer,150,1
1455
 1433               		.comm RxdBuffer,150,1
1456
 1434               		.comm MeineSlaveAdresse,1,1
1457
 1435               		.comm Debug_Timer,2,1
1458
 1436               		.comm DebugOut,66,1
1459
 1437               		.comm DebugIn,11,1
1460
 1438               		.comm VersionInfo,10,1
1461
 1439               		.comm ControlIn,8,1
1462
 1440               		.comm ControlOut,1,1
1463
 1441               		.comm NMEABuffer,150,1
1464
 1442               		.comm SendeBuffer1,150,1
1465
 1443               		.comm RxdBuffer1,150,1
1466
 1444               	/* File "uart.c": code 1257 = 0x04e9 (1120), prologues  65, epilogues  72 */
1467
DEFINED SYMBOLS
1468
                            *ABS*:00000000 uart.c
1469
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:3      *ABS*:0000003f __SREG__
1470
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:4      *ABS*:0000003e __SP_H__
1471
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:5      *ABS*:0000003d __SP_L__
1472
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:6      *ABS*:00000000 __tmp_reg__
1473
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:7      *ABS*:00000001 __zero_reg__
1474
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:13     .text:00000000 __vector_30
1475
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1377   .data:00000003 UebertragungAbgeschlossen1
1476
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1430   .bss:00000021 ptr1.2060
1477
                            *COM*:00000096 SendeBuffer1
1478
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:69     .text:0000006c __vector_28
1479
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1359   .bss:00000013 SioTmp1
1480
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1429   .bss:00000020 buf_ptr1.2073
1481
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1428   .bss:0000001f UartState1.2074
1482
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1365   .bss:00000014 NeuerDatensatzEmpfangen1
1483
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1371   .bss:00000015 AnzahlEmpfangsBytes1
1484
                            *COM*:00000096 RxdBuffer1
1485
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:168    .text:00000136 __vector_22
1486
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1298   .data:00000000 UebertragungAbgeschlossen
1487
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1427   .bss:0000001d ptr.2100
1488
                            *COM*:00000096 SendeBuffer
1489
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:224    .text:000001a2 __vector_20
1490
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1280   .bss:00000004 SioTmp
1491
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1423   .bss:00000018 buf_ptr.2116
1492
                             .bss:00000017 UartState.2117
1493
                            *COM*:00000096 RxdBuffer
1494
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1426   .bss:0000001b crc.2113
1495
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1425   .bss:0000001a crc1.2114
1496
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1424   .bss:00000019 crc2.2115
1497
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1305   .bss:00000007 CntCrcError
1498
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1286   .bss:00000005 NeuerDatensatzEmpfangen
1499
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1311   .bss:00000008 AnzahlEmpfangsBytes
1500
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:416    .text:00000344 AddCRC
1501
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:470    .text:000003a0 SendOutRXTunnelData
1502
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:501    .text:000003ce SendOutTXTunnelData
1503
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:533    .text:00000400 SendOutData
1504
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:647    .text:000004d0 Decode64
1505
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:747    .text:00000580 uart_putchar
1506
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:771    .text:000005a2 WriteProgramData
1507
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:781    .text:000005a4 UART_Init
1508
                            *COM*:00000002 Debug_Timer
1509
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:831    .text:00000602 DatenUebertragung
1510
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1384   .bss:00000016 ControlReceived
1511
                            *COM*:00000001 ControlOut
1512
                            *COM*:00000001 MeineSlaveAdresse
1513
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1256   .bss:00000000 DebugGetAnforderung
1514
                            *COM*:0000000b DebugIn
1515
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1268   .bss:00000002 DebugDataAnforderung
1516
                            *COM*:00000042 DebugOut
1517
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1335   .data:00000001 DebugTextAnforderung
1518
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1390   .data:00000004 ANALOG_TEXT
1519
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1262   .bss:00000001 DebugDisplayAnforderung
1520
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1323   .bss:0000000a RemotePollDisplayLine
1521
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1329   .bss:0000000b NurKanalAnforderung
1522
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1274   .bss:00000003 GetVersionAnforderung
1523
                            *COM*:0000000a VersionInfo
1524
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:983    .text:00000762 BearbeiteRxDaten
1525
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1340   .data:00000002 PcZugriff
1526
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1347   .bss:0000000c MotorTest
1527
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1353   .bss:00000010 DubWiseKeys
1528
                            *COM*:00000008 ControlIn
1529
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1292   .bss:00000006 NeueKoordinateEmpfangen
1530
C:\Users\gunterl\AppData\Local\Temp/cc6ZqIMb.s:1317   .bss:00000009 PC_DebugTimeout
1531
                            *COM*:00000096 NMEABuffer
1532
 
1533
UNDEFINED SYMBOLS
1534
__do_copy_data
1535
__do_clear_bss
1536
SetDelay
1537
CheckDelay
1538
Menu
1539
PPM_in
1540
DisplayBuff
1541
RemoteTasten
1542
EE_Parameter
1543
ReadParameterSet
1544
GetActiveParamSetNumber
1545
WriteParameterSet
1546
EEPromArray
1547
__eeprom_write_byte_1F2021
1548
__mulsi3
1549
Umschlag180Nick
1550
Umschlag180Roll
1551
Piep
1552
Parameter_UserParam2